汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx

上传人:b****6 文档编号:21802030 上传时间:2023-02-01 格式:DOCX 页数:12 大小:87.19KB
下载 相关 举报
汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx_第1页
第1页 / 共12页
汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx_第2页
第2页 / 共12页
汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx_第3页
第3页 / 共12页
汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx_第4页
第4页 / 共12页
汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx

《汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx(12页珍藏版)》请在冰豆网上搜索。

汽车尾灯控制电路 广西科技大学Word文档下载推荐.docx

目录

1引言1

1.1设计的目的1

1.2设计的基本内容1

2EDA、VHDL简介1

2.1EDA技术1

2.1.1EDA技术的概念1

2.1.2EDA技术的特点1

2.1.3EDA设计流程2

2.2硬件描述语言(VHDL)2

2.2.1VHDL简介2

2.2.2VHDL语言的特点2

3汽车尾灯控制器的设计过程3

3.1系统设计要求3

3.2汽车尾灯控制器的工作原理3

3.3各组成模块原理及程序4

3.3.1汽车尾灯主控模块5

3.3.2左右灯控制模块6

4系统仿真8

4.1汽车尾灯主控模块仿真及分析8

4.2左右灯控制模块仿真及分析8

4.3整个系统仿真及分析9

总结与体会9

致谢10

附参考文献10

1引言

1.1设计的目的

其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。

改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。

其二、学好VHDL这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。

1.2设计的基本内容

根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA工具对各模块进行仿真验证。

汽车尾灯控制器的设计分为3个模块:

汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。

把各个模块整合后就形成了汽车尾灯控制器。

通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。

2EDA、VHDL简介

2.1EDA技术

2.1.1EDA技术的概念

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

2.1.2EDA技术的特点

利用EDA技术进行电子系统的设计,具有以下几个特点:

①用软件的方式设计硬件;

②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;

③设计过程中可用有关软件进行各种仿真;

④系统可现场编程,在线升级;

⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。

因此,EDA技术是现代电子设计的发展趋势。

2.1.3EDA设计流程

典型的EDA设计流程如下:

1、文本/原理图编辑与修改。

首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。

  2、编译。

完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。

  3、综合。

将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。

  4、行为仿真和功能仿真。

利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。

  5、适配。

利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。

适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。

  6、功能仿真和时序仿真。

7、下载。

如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。

8、硬件仿真与测试。

2.2硬件描述语言(VHDL)

2.2.1VHDL简介

VHDL(Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage)主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

2.2.2VHDL语言的特点

1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。

2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。

3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。

4.可以进行从系统级到逻辑级的描述,即混合描述。

5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。

3汽车尾灯控制器的设计过程

3.1系统设计要求

1、假设汽车尾部左右两边各有红、绿黄3个指示灯,在正常行使时灯全灭;

2、左、右转弯时相应方向的绿色指示灯闪烁;

3、倒车及刹车时,所有指示灯同步闪烁,并有声音提示。

3.2系统工作原理

汽车尾灯控制器就是一个状态机的实例。

当汽车正常行驶时所有指示灯都不亮;

当汽车向右转弯时,汽车右侧的指示灯L1(green2)亮;

当汽车向左侧转弯时,汽车左侧的指示灯L1(green)亮;

当汽车刹车时,汽车右侧的指示灯和汽车左侧的指示灯同时闪烁;

通过设置系统的输入信号:

系统时钟信号CLK,汽车左转弯控制信号zuo,汽车右转弯控制信号you,刹车信号stop,汽车左侧3盏指示灯L0、L1、L2和汽车右侧3盏指示灯L0、L1、L2及蜂鸣器LV实现以上功能。

框架流程图和原理图:

原理图

3.3各组成模块原理及程序

汽车尾灯控制器有3个模块组成,分别为:

汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计

3.3.1汽车尾灯主控模块

数据输入:

zuo左转弯

you右转弯,

stop刹车或倒车

数据输出:

lft左模块控制信号,

out0刹车或倒车控制信号,

rit右模块控制信号

下面是程序:

libraryieee;

useieee.std_logic_1164.all;

entityzhuis

port(left,right,stop,slow:

instd_logic;

Lft,ot0,ot1,rit:

outstd_logic);

endzhu;

architectureoneofzhuis

begin

process(left,right,stop)

variablea:

std_logic_vector(2downto0);

a:

=left&

right&

stop;

caseais

when"

100"

=>

lft<

='

1'

;

ot0<

0'

rit<

010"

001"

whenothers=>

endcase;

endprocess;

endone;

3.3.2汽车尾灯左右模块

ret复位控制信号

en使能控制信号

clk时钟

ln1刹车或倒车控制信号

L0红灯

L1绿灯

L2黄灯

LV声音

以下是程序:

entityLRis

port(ret,en,clk,ln1:

L0,L1,L2,LV:

outstd_logic);

endLR;

architectureoneofLRis

signaltemp1:

std_logic_vector(1downto0);

signaltemp2:

std_logic_vector(3downto0);

begin

temp1<

=en&

ln1;

process(temp1,clk,ret)

ifret='

thentemp2<

="

0000"

elsifclk'

eventandclk='

then

casetemp1is

10"

casetemp2is

0100"

temp2<

01"

1111"

whenothers=>

endif;

L0<

=temp2(3);

L1<

=temp2

(2);

L2<

=temp2

(1);

LV<

=temp2(0);

endarchitectureone;

4系统仿真

4.1汽车尾灯主控模块仿真及分析

对时序仿真图进行分析:

zuo,you,stop为输入信号,rit为1表示右转,lft为1表示左转,out0为1表示刹车。

如图所示:

当stop为1时,产生三个输出为1的信号脉冲输出,当zuo为1时,产生一个lft为1的信号脉冲输出,当you为1时,产生一个rit为1的信号脉冲输出。

4.2左右灯控制模块仿真及分析

en,clk,ln1,ret为输入信号。

输出信号为L0,L1,L2,LV,表示汽车左右侧的三盏灯及声音输出。

当恩为1时,L1输出为1表示左侧或右侧灯亮,当ln1为1时,两侧灯全部亮。

4.3整个系统仿真及分析

总仿真

对时序仿真图进行分析:

clk,ret,stop,zuo,you为输入信号。

Red,red1,green,green2,yellow,yellow3,voice为输出信号,表示汽车左右侧的三盏灯及声音。

当stop为1时,全部灯亮灭交替,当zuo为1时,green为输出为1表示左侧绿灯亮,当you为1时,green2输出为1表示右侧路灯亮。

5总结与体会

通过本次课程设计我们做了汽车尾灯的控制系统,我们对EDA技术有了更深的了解,初步学会了采用自顶向下的系统设计方法设计系统,并能利用VHDL语言进行简单的电路模块设计。

此外,我们还进一步熟悉了MAX+PLUSII这款软件的使用,深刻体会到了用软件实现硬件设计的便捷与优越。

在设计过程中,不仅要求我们掌握扎实的理论知识,分析问题能从根本原理出发,联系实际解决问题,还要求我们要有耐心,毅力及细心。

稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查更要求我们要有足够的耐心,反复调试,直到程序顺利通过。

在此,我真正意识到自己的知识面还不够广和掌握知识的能力还要不断的提高。

在以后的学习中,我们要经常多写、多读程序,这样才能提高自己的编程水平。

要理论联系实际,把所学的东西用到实际中去。

6致谢

经过两周的学习和努力,汽车尾灯控制器的设计也基本完成了。

感谢老师和同学们对我这次实验设计制作的帮助和指导。

让我学到了很多知识,掌握了许多专业知识,以及让我学到了要把一件事做好做成则必须要付出很大的努力和要有一颗持之以恒的心。

还有要感谢韦老师的帮助和指导,她严肃的科学态度,严谨的治学精神,精益求精的工作作风,深深地感染和激励着我。

在此,向韦老师表示诚挚的谢意!

同时还有感谢所有的同学,正是有了你们的支持和鼓励,此次课程设计才会顺利完成。

附:

参考文献

1.潘松,黄继业.EDA技术实用教程(第二版)[M].北京:

北京航空航天大学出版社,1990

2.刘欲晓,方强,黄宛宁等.EDA技术与VHDL电路开发应用实践[M].北京:

电子工业出版社,2009

3.谭会生,瞿遂春等.EDA技术综合应用实例与分析[M].西安:

西安电子科技大学出版社.2004.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1