EDA实验分析报告Word格式文档下载.docx

上传人:b****6 文档编号:21692132 上传时间:2023-01-31 格式:DOCX 页数:25 大小:560.69KB
下载 相关 举报
EDA实验分析报告Word格式文档下载.docx_第1页
第1页 / 共25页
EDA实验分析报告Word格式文档下载.docx_第2页
第2页 / 共25页
EDA实验分析报告Word格式文档下载.docx_第3页
第3页 / 共25页
EDA实验分析报告Word格式文档下载.docx_第4页
第4页 / 共25页
EDA实验分析报告Word格式文档下载.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

EDA实验分析报告Word格式文档下载.docx

《EDA实验分析报告Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《EDA实验分析报告Word格式文档下载.docx(25页珍藏版)》请在冰豆网上搜索。

EDA实验分析报告Word格式文档下载.docx

IFCLK'

EVENTANDCLK='

1'

THENQ<

=D;

ENDIF;

ENDPROCESS;

ENDbhv;

D触发器的仿真波形图

最简单并最具代表性的时序电路是D触发器,它是现代可编程ASIC设计中最基本的时序元件和底层元件。

D触发器的描述包含了VHDL对时序电路的最基本和典型的表达方式,同时也包含了VHDL中许多最具特色的语言现象。

D触发器元件如上图所示,其在max+plus2的仿真得出上面的波形

实验三:

设计含异步清零和同步时钟使能的加法计数器

实验内容一个带有异步复位和同步时钟使能的十进制加法计数器,它的程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYCNT10IS

PORT(CLK,RST,EN:

INSTD_LOGIC;

CQ:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

COUT:

OUTSTD_LOGIC);

ENDCNT10;

ARCHITECTUREbehavOFCNT10IS

BEGIN

PROCESS(CLK,RST,EN)

VARIABLECQI:

STD_LOGIC_VECTOR(3DOWNTO0);

IFRST='

THENCQI:

=(OTHERS=>

'

0'

);

--计数器异步复位

ELSIFCLK'

EVENTANDCLK='

THEN--检测时钟上升沿

IFEN='

THEN--检测是否允许计算(同步使能)

IFCQI<

9THENCQI:

=CQI+1;

--允许计数,检测是否小于9

ELSECQI:

--大于9,计数值清零

IFCQI=9THENCOUT<

='

;

--计数大于9,输出进位信号

ELSECOUT<

CQ<

=CQI;

--将计数值向端口输出

ENDPROCESS;

ENDbehav;

加法计数器的工作时序

程序说明RST是异步清信号,高电平有效;

CLK是锁存信号;

D[3..0]是4位数据输入端。

当EN为'

时,多路选择器将加1器的输出值加载于锁存器的数据端;

时将"

0000"

加载于锁存器.

实验四:

用原图输入法设计8位全加器

实验内容:

完成半加器和全加器的设计。

实验目的:

熟悉利用max+plus2的原理图输入方法设计简单组合电路,掌握层次化设计的方法。

半加器的原理图如下

半加器的时序仿真波形如下:

将半加器的原理图选择File中的CreateDefaultSymbol项,此时即将当前文件变成了一个包装好的单一元件,并被放置在工程路径指定的目录中以备后用。

H_adder

一位全加器的VHDL描述,它的电路图如下

1位全加器的时序仿真波形如下

一位全加器可以由两个半加器和一个或门连接而成。

8位全加器设计原理图

八位全加器的波形仿真如上图

实验五:

用原理图输入法设计较复杂数字系统

熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设技术和数字系统设计方法。

完成2位十进制频率计的设计,并进行仿真测试,给出仿真波形。

实验原理图:

时序仿真波形图:

实验分析:

F_IN是待测频率信号,CNT_EN是对待测频率脉冲计数允许信号CNT_EN高电平时允许计数,低电平时禁止计数。

仿真波形显示,当CNT_EN为高电平时允许conter8对F_IN计数,低电平时conter8停止计数,由锁存信号LOCK发出的脉冲,将conter8中的二个4位十进制数锁存进74374中,并由74374分高低位通过总线H[6..0]和L[6..0]输给74248译码输出显示,即测得的频率值。

此后由清零信号CLR对计数器conter8清零,以备下一周期计数之用。

实验六:

七段数码显示译码器设计

学习7段数码显示译码器设计,学习VHDL的CASE语句应用及多层次设计方法。

7段数码的程序和其波形方真,它的程序如下:

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYDecL7SIS

PORT(A:

INSTD_LOGIC_VECTOR(3DOWNTO0);

LED7S:

OUTSTD_LOGIC_VECTOR(6DOWNTO0));

ARCHITECTUREoneOFDecL7SIS

PROCESS(A)

CASEA(3DOWNTO0)IS

WHEN"

=>

LED7S<

="

0111111"

;

--X"

3F"

0001"

0000110"

06"

1

0010"

1011011"

5B"

2

0011"

1001111"

4F"

3

0100"

1100110"

66"

4

0101"

1101101"

6D"

5

0110"

1111101"

7D"

6

0111"

0000111"

07"

7

1000"

1111111"

7F"

8

1001"

1101111"

6F"

9

1010"

1110111"

77"

10

1011"

1111100"

7C"

11

1100"

0111001"

39"

12

1101"

1011110"

5E"

13

1110"

1111001"

79"

14

1111"

1110001"

71"

15

WHENOTHERS=>

NULL;

ENDCASE;

7段译码器仿真波形

实验七:

数控分频器的设计

学习数控分频器的设计、分析和测试方法

实验原理:

数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。

当输入输入不同的CLK时钟频率和预置值D时得不同FOUT电平

它的程序如下:

ENTITYDVFIS

PORT(CLK:

INSTD_LOGIC;

INSTD_LOGIC_VECTOR(7DOWNTO0);

FOUT:

END;

ARCHITECTUREoneOFDVFIS

SIGNALFULL:

STD_LOGIC;

P_REG:

PROCESS(CLK)

VARIABLECNT8:

STD_LOGIC_VECTOR(7DOWNTO0);

BEGIN

THEN

IFCNT8="

11111111"

THEN

CNT8:

=D;

--当CNT8计数计满时,D被同步预置给计数器CNT8

FULL<

='

--同时使溢出标志信号FULL输出为高电平

ELSECNT8:

=CNT8+1;

--否则继续作加1计数

--且输出溢出标志信号FULL为低电平

ENDPROCESSP_REG;

P_DIV:

PROCESS(FULL)

VARIABLECNT2:

IFFULL'

EVENTANDFULL='

THENCNT2:

=NOTCNT2;

--如果溢出标志信号FULL为高电平,D触发器输出取反

IFCNT2='

THENFOUT<

ELSEFOUT<

ENDPROCESSP_DIV;

上例的时序波形如下:

当给出不同输入值D时,FOUT输出不同频率(CLK周期=50ns)

数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,本设计中的数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。

实验八:

正弦信号发生器设计

进一步熟悉Max+plusII及其LPM_ROM与FPGA硬件资源的使用方法。

设计一个正弦波发生器,并进行仿真测试,给出仿真波形。

时序波形仿真图:

信号发生器结构包含两个部分:

ROM的地址信号发生器,由6位计数器担任;

一个正弦数据ROM,由LPM_ROM模块构成。

实验九:

8位十六进制频率计设计

学习较复杂的数字系统设计方法。

设计一个8位十六进制频率计,并进行仿真测试,给出仿真波形。

--测频控制

ENTITYftctrlIS

PORT(CLKK:

--1Hz

CNT_EN,RST_CNT,LOAD:

OUTSTD_LOGIC);

ENDftctrl;

ARCHITECTUREbehavOFftctrlIS

SIGNALDIV2CLK:

PROCESS(CLKK)

IFCLKK'

EVENTANDCLKK='

THENDIV2CLK<

=NOTDIV2CLK;

PROCESS(CLKK,DIV2CLK)

IFCLKK='

ANDDiv2CLK='

THENRST_CNT<

ELSERST_CNT<

LOAD<

=NOTDIV2CLK;

CNT_EN<

=DIV2CLK;

--32位锁存器

ENTITYREG32BIS

PORT(LK:

DIN:

INSTD_LOGIC_VECTOR(31DOWNTO0);

DOUT:

OUTSTD_LOGIC_VECTOR(31DOWNTO0));

ENDREG32B;

ARCHITECTUREbehavOFREG32BIS

PROCESS(LK,DIN)

IFLK'

EVENTANDLK='

THENDOUT<

=DIN;

--时钟到来时,锁存输入数据

--32位计数器

ENTITYcounter32bIS

PORT(ENABL,CLR,FIN:

DOUT:

OUTSTD_LOGIC_VECTOR(31DOWNTO0));

ENDcounter32b;

ARCHITECTUREbehavOFcounter32bIS

SIGNALCQI:

STD_LOGIC_VECTOR(31DOWNTO0);

PROCESS(ENABL,CLR,FIN)

IFCLR='

THENCQI<

=(OTHERS=>

--清零

ELSIFFIN'

EVENTANDFIN='

IFENABL='

THENCQI<

=CQI+1;

DOUT<

=CQI;

ENDbehav;

libraryieee;

useieee.std_logic_1164.all;

entitypreqtestis

port(clk1hz:

instd_logic;

fsin:

dout:

outstd_logic_vector(31downto0));

endpreqtest;

architecturestrucoffreqtestis

componentftctrl

port(clkk:

cnt_en:

outstd_logic;

rst_cnt:

load:

outstd_logic);

endcomponent;

componentcounter32b

port(fin:

clr:

enabl:

outstd_std_logic_vector(31downto0));

componentreg32b

port(lk:

din:

instd_std_logic_vector(31downto0);

signaltsten1:

std_logic;

signalclr_cnt1:

sigalload1:

sigaldt01:

std_std_logic_vector(31downto0);

sigalcarry_out1:

std_std_logic_vector(6downto0);

begin

u1:

ftctrlportmap(clkk=>

clk1hz,cnt_en=>

tsten1,

rst_cnt=>

clr_cnt1,load=>

load1);

u2:

reg32bportmap(lk=>

load1,din=>

dto1,dout=>

dout);

u3:

counter32bportmap(fin=>

fsin,clr=>

clr_cnt1,

enabl=>

tsten1,dout=>

dt01);

endstruc;

实验十:

序列检测器设计

用状态机实现序列检测器的设计,了解一般状态机的设计与应用。

序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则相同,则输出1,否则输出0。

描述的电路完成对序列数“11100101”的检测,当这一串序列数高位在前(左移)串行进入检测器后,若此数与预置的密码数相同,则输出“A”,否则仍然输出“B”。

ENTITYSCHKIS

PORT(DIN,CLK,CLR:

--串行输入数据位/工作时钟/复位信号

AB:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));

--检测结果输出

ENDSCHK;

ARCHITECTUREbehavOFSCHKIS

SIGNALQ:

INTEGERRANGE0TO8;

SIGNALD:

--8位待检测预置数

D<

11100101"

PROCESS(CLK,CLR)

IFCLR='

=0;

THEN--时钟到来时,判断并处理当前输入的位

CASEQIS

WHEN0=>

IFDIN=D(7)THENQ<

=1;

ELSEQ<

ENDIF;

WHEN1=>

IFDIN=D(6)THENQ<

=2;

WHEN2=>

IFDIN=D(5)THENQ<

=3;

WHEN3=>

IFDIN=D(4)THENQ<

=4;

WHEN4=>

IFDIN=D(3)THENQ<

=5;

WHEN5=>

IFDIN=D

(2)THENQ<

=6;

WHEN6=>

IFDIN=D

(1)THENQ<

=7;

WHEN7=>

IFDIN=D(0)THENQ<

=8;

Q<

PROCESS(Q)--检测结果判断输出

IFQ=8THENAB<

--序列数检测正确,输出"

A"

ELSEAB<

--序列数检测错误,输出"

B"

ENDbehav;

当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出A,否则输出B。

由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。

在检测过程中,任何一位不相等都将回到初始状态重新开始检测。

本实验描述的电路完成对序列数"

的检测,当这一串序列数高位在前(左移)串行进入检测器后,若此数与预置的密码数相同,则输出“A”,否则仍然输出“B”。

实验十一:

乐曲硬件演奏电路设计

学习设计硬件乐曲演奏电路。

主系统由三个模块组成,由顶层设计文件,其内部有三个功能模块:

tonetaba.vhd、notetabs.vhd和speakera.vhd。

实验程序:

硬件演奏电路顶层设计:

entitysongeris

port(clk12mhz:

instd_logic;

clk8hz:

code1:

outstd_logic_vector(3downto0);

high1:

outstd_logic;

spkout:

outstd_logic);

end;

architectureoneofsongeris

componentnotetabs

port(clk:

toneindex:

outstd_logic_vector(3downto0));

endcomponent;

componenttonet

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 幼儿教育 > 幼儿读物

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1