PN码Word格式文档下载.docx

上传人:b****5 文档编号:21583784 上传时间:2023-01-31 格式:DOCX 页数:10 大小:98.59KB
下载 相关 举报
PN码Word格式文档下载.docx_第1页
第1页 / 共10页
PN码Word格式文档下载.docx_第2页
第2页 / 共10页
PN码Word格式文档下载.docx_第3页
第3页 / 共10页
PN码Word格式文档下载.docx_第4页
第4页 / 共10页
PN码Word格式文档下载.docx_第5页
第5页 / 共10页
点击查看更多>>
下载资源
资源描述

PN码Word格式文档下载.docx

《PN码Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《PN码Word格式文档下载.docx(10页珍藏版)》请在冰豆网上搜索。

PN码Word格式文档下载.docx

在CDMA系统接收端,一般解扩过程都在载波同步前进行,实现捕获大多采用非相干检测。

接收到扩频信号后,经射频宽带滤波放大及载波解调后,分别送往2N扩频PN序列相关处理解扩器(N是扩频PN序列长)。

2N个输出中哪个输出最大,该输出对应的相关处理解扩器所用的扩频PN序列相位状态,就是发送的扩频信号的扩频PN序列相位,从而完成扩频PN序列捕获。

捕获的方法有多种,如滑动相干法、序贯估值法及匹配滤波器法等,滑动相关法是最常用的方法。

2.1滑动相关法接收系统在搜索同步时,它的码序列发生器以与发射机码序列发生器不同的速率工作,致使这两个码序列在相位上互相滑动,只有在达到一致点时,才停下来,因此称之为滑动相关法。

接收信号与本地PN码相乘后积分,求出它们的互相关值,然后与门限检测器的某一门限值比较,判断是否已捕获到有用信号。

它利用了PN码序列的相关徨性,当两个相同的码序列相位一致时,其相关值输出最大。

一旦确认捕获完成,捕获指示信号的同步脉冲控制搜索控制钟,调整PN码发生器产生的PN码重复频率和相位,使之与收到的信号保持同步。

由于滑动相关器对两个PN码序列按顺序比较相关,所以该方法又称顺序搜索法。

滑动相关器简单,应用簋广,缺点是当两个PN码的时间差或相位差过大时,相对滑动速度簋慢,导致搜索时间过长,特别是对长PN码的捕获时间过长,必须采取措施限定捕获范围,加快捕获时间,改善其性能。

使滑动相关器实用的有效方法之一是采用特殊码序列,特殊码序列要足够短,以便在合理时间内对所有码位进行搜索。

至于短到什么程度,由满足相关性要求限定。

这种加前置码的方法称同步引导法。

引导码同步要求低、简单易实现,是适合各种应用的同步方法。

可捕码由若干较短码序列组合而成,其码序列应与各组成码序列保持一定的相关关系。

这类码中最著名的是JPL码。

2.2序贯估值法序贯估值法是另一种减少长码捕获时间的快速捕获方法,它把收到的PN码序列直接输入本地码发生器的移位寄存器,强制改变各级寄存器的起始状态,使其产生的PN码与外来码相位一致,系统即可立即进行同步跟踪状态,缩短了本地PN码与外来PN码相位一致所需的时间。

该方法先检测收到码信号中的PN码,通过开关,送入n级PN码发生器的移位寄存器。

待整个码序列全部进入填满后,在相关器中,将产生的PN码与收到的码信号进行相关运算,在比较器中将所得结果与门限进行比较。

若未超过门限,则继续上述过程。

若超过门限,则停止搜索,系统转入跟踪状态。

理想情况下,捕获时间Ts=nTc,(Tc为PN码片时间宽度)。

该方法捕获时间虽短,但存在一些问题,它先要对外来的PN码进行检测,才能送入移位寄存器,要做到这一点有时很困难。

另外,此法抗干扰能力很差,因为逐一时片进行估值和判决,并未利用PN码的抗干扰特性。

但在无干扰条件下,它仍有良好的快速初始同步性能。

2.3匹配滤波器法用于PN同步捕获的匹配滤波器一般采用延时线匹配滤波器,其目的是识别码序列,它能在特殊结构中识别特殊序列,而且只识别该序列。

假设一个输入信号是7bit码序列1110010双相调制的信号,每当码有1-0过渡时,反相信号进入延时线,直到第1bit在T7,第2bit在T6。

当全部时延元件都填满,而且信号调制码与滤波器时延元件相位一致时,T2的信号相位与T5、T6、T7的相位相同,时延元件T1、T3、T4也具有相同的信号相位。

把T2、T5、T6、T7与T1、T3、T4两组分别相加,把T1、T3、T4之和倒相输出,再将这两个结果相加,包含在全部7个元件中的信号能量同相相加,整个输出是未处理的7倍。

根据该能量关系可以识别码序列。

要增强产生的信号,可以靠附加更多的时延元件实现,在这种结构中得到的处理增益为Gp=10lgn(n是参加求和的时延元件数)。

在要求快速锁定及使用长码的CDMA扩频通信中,宜采用SAW-TDL-MF作同步器。

对于待定信号,匹配滤波器具有时间自动能力,无需PN码时钟同步与RF载波相位锁定,既避免了数据信息比特以外的同步,又完成了扩频信号的相关处理。

引导码进入程控编码SAW-TDL-MF后,其输出是噪声基底上的底尖相关峰。

在扩频通信中,噪声功率控制接收机的AGC,因而信号功率(即相关峰值)在起伏的噪声环境中变化很大。

门限计算器的功能根据包络检测输出,确定动态门限电平,提供给同步检测器,保证在低SNR时有可允许的同步误差。

动态门限电平取在主峰高度与最大旁峰之间时,噪声引起的底同步误差最小。

当SAW-TDL检波输出包络超过动态门限时,同步检测器为接收机宽带频率合成器提供一个逻辑电平同步信号。

3.PN码序列跟踪当同步系统完成捕获过程后,同步系统转入跟踪状态。

所谓跟踪,是使本地码的相位一直随接收到的伪随机码相位改变,与接收到的伪随机码保持较精确的同步。

跟踪环路不断校正本地序列的时钟相位,使本地序列的相位变化与接收信号相位变化保持一致,实现对接收信号的相位锁定,使同步误差尽可能小,正常接收扩频信号。

跟踪是闭环运行的,当两端相位出现差别后,环路能根据误差大小自动调整,减小误差,因此同步系统多采用锁相技术。

跟踪环路可分为相干与非相干两种。

前者在确知发端信号载波频率和相位的情况下工作,后者在不确知的情况下工作。

实际上大多数应用属于后者。

常用的跟踪环路有延迟锁定环及抖动环两种,延迟锁定环采用两个独立的相关器,抖动环采用分时的单个相关器。

3.1延迟锁相环当本地PN码产生器第(n2)和第n级移位寄存器输出PN码相位超前于接收到的伪随机码相位时(即两码的相对时差03.2抖动跟踪环抖动环是跟踪环的另一种形式,与延时锁定环相同,接收信号与本地产生PN序列的超前滞后形式相关,误差信号由单个相关器以交替的形式相关后得到。

PN码序列产生器由一个信号驱动,时钟信号的相位二元信号的变化来回“摆动”,去除了必须保证两个通道传递函数相同的要求,因此抖动环路实现简单。

与延时锁定环相比,信噪比性能恶化大约3dB。

延迟锁定环及抖动环不仅能起跟踪作用,如果采用滑动相关概念,使本地VCO开始时就与接收信号有一定频差,也能起到捕获作用。

此外,另加一相关器,还可以起到解码作用。

上述两种跟踪环路的主要跟踪对象是单径信号,但在移动信道中,由于受到多径衰落及多普勒频移等多种复杂因素影响,不能得到令人满意的跟踪性能,所以CDMA扩频通信系统应采用适合多径衰落信道的跟踪环。

基于能量窗重心的定时跟踪环就是其中之一。

CDMA数字蜂窝移动系统采用扩频技术,其扩频带宽使系统具有较强的多径分辨能力。

接收机不断搜索可分辨多径信号分量,选出其中能量最强的J个多径分量作为能量窗,利用基于能量窗重心的定时跟踪算法,观察相邻两次工作窗内多径能量分布变化,计算跟踪误差函数,根据能量重心变化,调整本地PN码时钟,控制PN码滑动,达到跟踪目的。

采用该跟踪环的目的是使用于RAKE接收的工作窗内多径能量之和最大,接收机性能更好。

仿真结果表明,与DLL跟踪单径相比,采用基于能量窗重心的定时跟踪法跟踪有效多径成分具有更好的性能。

pn码就是伪随机码,具有与二元随机序列性质相似的周期性码组。

是一种预先确定,并可重复实现的具有某种随机特性的码,它虽然仅有2个电平,却具有类似白噪声的相关特性,只是幅度概率分布不再服从高斯分布。

用在ofdm系统中,可能是基于pn序列的同步算法或者是基于PN序列的频偏信道参数估计算法,充分利用PN序列的相关特性,具有良好的抗噪特性、衰落信道适应性和高的估计精度。

PN码的大步进捕获原理及其码的大步进捕获原理及其CPLD实现实现上网日期:

2002年07月28日窗体顶端窗体底端申请免费杂志订阅收藏打印版推荐给同仁发送查询关键字:

SpreadspectrumcommunicationLargestepfastacquisitionPseudo-randomcodecaptureCPLD本文总结并比较扩频通信中PN码捕获的各种方法,并着重介绍由大步进快速捕获延迟锁定环构成的解扩系统的结构和实现机理。

提出用CPLD对该系统中关键部件?

PN码发生器和大步进逻辑电路数字化的方案,并给出解决办法。

扩频通信就是在发端将一个速率远高于信号频率的伪随机序列(本地PN码)与信号相乘,产生扩频信号,从而拓宽信号频谱;

在收端用一个与发端完全相同的伪随机序列与接收到的扩频信号相乘,通过窄带滤波器滤除带外干扰,提高解调前信号的信噪比。

在扩频通信系统中对发端PN码的同步是个至关重要的环节,没有对发端PN码的捕获和同步就根本无法实现解扩,也就无法获得扩频通信的各项优势。

本文将对扩频通信中PN码捕获的几种常用方法进行比较和讨论,并重点论述一项相对比较新的解扩技术?

大步进快速捕获技术以及如何将复杂可编程逻辑器件技术应用其中完成本地PN码发生器和大步进逻辑的数字化。

扩频通信中PN码的捕获方法同步不确定性包括码相位不确定性和载波频率不确定性,因此实现PN码的同步包含两个步骤。

首先是捕获,使本地参考码和接收码的相位差小于一个码元宽度,使本振的中心频率精确到使去扩谱信号位于相关后滤波器的通带内,保证解调器能够很好地工作,这个过程也称粗同步;

第二步是跟踪,也称精同步,使得两个PN码的相位差保持为一个PN码码元的若干分之一。

跟踪的问题可以由延迟锁定环很好地解决,而PN码的捕获,特别是低信噪比下长序列PN码的快速捕获,已经成为扩频通信系统中很重要的一个问题。

传统的PN码捕获主要有以下几种方法:

1.单步进搜索:

这是最原始的搜索方法,它逐次移动一个相位步进量(一个PN码码元),每移动一次作一次相关判决,直到两个PN码同步。

2滑动相关:

使本地码产生器同发射码产生器的时钟速率有一定的差率,从而使两个码序列从相位上看起来好象在相对滑动,直到两序列相符时滑动停止。

3多驻留式搜索:

以双驻留式搜索为例。

设置两个积分时间t1和t2,t1较短,用于粗同步的初次估计,t2相对较长,用于粗同步的二次估计。

当在t1时间内的积分值没有超过门限时,本地PN码步进一个相位单元,进入下一轮相关判断;

若超过了门限值,则在t2时间内再做积分,若没超过门限值,则认为两PN码没有同步,本地PN码步进一个相位单元,进入下一轮相关判断。

重复上述步骤,直到两PN码同步为止。

4双门限判决:

该方法是在进行相关判决时设置上、下两个判决门限。

相关结果高于上门限时认为已同步,低于下门限时认为未同步,位于上下门限之间时,增加积分时间做进一步的判决。

5匹配滤波器:

当信号为实函数时,相关和卷积只差时间的反转。

当输入是直接序列扩频信号时,设计一个匹配滤波器,使其单位脉冲响应为PN码的时间反转,当扩频信号输入到达一个PN码周期时,有一个最大相关输出,令该输出对本地PN码发生器置位,使两PN码达到粗同步。

在载波频率较高的情况下,匹配滤波器一般用声表面波器件SAW实现。

但SAW器件插损大,而且滤波器长度也受限于国内工艺水平,只能对长码的一小段进行匹配,在低信噪比下不可靠。

6序列估计法:

该方法是利用对PN码发生器中的n个可预置移位寄存器进行置位来实现PN码的同步。

即用解调后的码(包含PN码和信息码及噪声)去给本地PN码发生器的移位寄存器置数,使得本地PN码发生器从这一状态产生PN码。

设在一个PN码码片长度内信息码不改变符号,则只需要n个PN码元宽度的时间就能使两PN码同步。

但考虑到存在噪声,其捕获速度并不比单步进快。

7.采用复码实现快捕:

该方法是在产生PN码时,通过将若干个短周期的PN码序列经特定的逻辑组合来得到长周期的PN码序列,短周期的PN码序列周期长度两两互质。

捕获时,依次对各个子码进行捕获。

这种方法的捕获时间是所有短周期PN码序列周期长度之和,可以使捕获时间较单步进搜索成数量级的降低。

但是,复码的频谱中有许多离散谱线,扩频效果不好,而且在捕获每个子码时相关值改变量小,因而对信噪比要求很高,一般只用于伪码测距。

以上几种方法有的实现起来虽然简单,但捕获时间太长(如单步进和滑动相关);

有些对噪声过于敏感,不适用于扩频通信系统(如序列估计法和复码法);

有些虽然捕获时间较单步进有一定的缩短,但电路也复杂了许多,付出的代价太大(如多驻留式搜索和双门限判决法);

另一些则受限于实现所必须的元器件,扩频增益作不高(如匹配滤波器法)。

下面我们将讨论的大步进搜索逻辑以及由它构成的大步进快速捕获延迟锁定环则可以克服以上几种捕获方法的缺点,具有捕获时间短(较单步进短一至两个数量级)、电路简单易于实现、捕获灵敏度高的特点,是一种在扩频通信领域有广泛应用前景的PN码捕获方法。

大步进搜索的基本原理大步进搜索实现PN码快速捕获的实质就是将要搜索的q相位单元分为q/m段,每段m个相位单元,用大步进电路使本地PN码逐段移动(即每次步进m个相位单元),每移动一段,做一次m路并列相关判决。

由于大步进搜索每次相关判决同时对m个相位进行,而单步进搜索每次相关判决只对一个相位进行,故而大步进的捕获时间较单步进可以缩短1/m,实现快捕。

使用大步进搜索方法的大步进快速捕获延迟锁定环的实现机理见图1。

图中S(t)为接收信号,它与(m+2)路本地PN码相乘,每一路代表了一个PN码相位,再经窄带滤波与包络检波,得到这(m+2)路相关运算结果。

将其中的m路()送入多路比较判决电路,与门限Vt比较。

当m路相关运算结果都小于判决门限Vt时(无相关输出),代表这m个PN码的相位都没有与发端PN码对齐,此时由判决输出端控制大步进电路,使本地PN码大步进m位,进入下一段相关处理;

如果m路相关运算结果中有一路超过门限Vt(有相关输出),说明该路(设为第i路)代表的PN码相位已经与发端PN码对齐,此时由判决输出端控制大步进电路,停止大步进。

一旦大步进停止,则通过比较输出

(1)(m)控制开关网络将该同步路的滞后路(第i+1路)和超前路(第i-1路)分别接入差分器的正端和负端,闭和延迟锁定环,进入跟踪阶段。

概括起来,大步进快速获延迟锁定环具有以下优点:

1现代电路技术实现并列乘法器使m达到10100比较容易,故捕获时间可降低一至两个数量级,效果明显。

2由于现代集成电路的发展,对于整个大步进延迟锁定环路中最复杂的部分:

并列乘法器、多路比较判别以及大步进逻辑电路,可以用CPLD很简单地实现,这大大的缩小了整个电路的体积,使得快速捕获电路的小型化成为可能。

3本方法是靠分段并行搜索来实现快速捕获的,因此相关处理时间可以很长。

如果相关时间取到整个PN码周期,那么就能避免PN码部分自相关引起的噪声对相关判决的影响,从而提高捕获灵敏度。

4由于本方法在捕获速度上的优势以及PN码整周期相关带来的好处,本方法的扩频增益受到的限制较少,故而可以将码长做到很长(如1023甚至更长),从而获得较大的扩频增益。

这也是本方法的应用潜力所在。

CPLD在大步进延迟锁定环中的应用可编程逻辑器件技术有如下优点:

首先,用户可以将复杂的逻辑电路用语言或原理图等方式描述出来,编译综合后固化到一块小小的芯片中,使得庞大的电路得以简化;

芯片的逻辑功能在固化前能够通过特定的仿真工具进行仿真和验证,这又避免了在设计过程中为了得到正确的逻辑功能而重复修改硬件,极大地缩短了设计人员的设计时间;

对于过去的设计方法,当设计定型之后,若再想做相对稍大的改动,会涉及重新搭建实验电路、重新绘制电路板、重新购买元器件等等十分复杂的工作,整个过程相当烦琐且容易出错;

而使用可编程逻辑器件进行设计则只需要在语言程序或原理图中进行改动,编译仿真成功后,再次把程序固化到芯片中,只要当前芯片中的逻辑单元够用,硬件上不需要进行任何改动。

Altera公司的MAX7000系列是基于乘积项结构的可编程逻辑器件系列,特别适用于实现高速、复杂的组合逻辑。

本课题选用了MAX7000系列CPLD产品中的74SLCC44-10来实现大步进快速获延迟锁定环中的关键部分:

PN码发生器和大步进逻辑产生器。

A.用CPLD产生1,023位PN码本地PN码产生是通过原理图输入方式实现的。

为了取得高扩频增益,本课题采用长度为1023位的m序列作为PN码,并选用了n=10时m序列最简单的生成多项式。

该m序列的发生需要10级移位寄存器,由第3级和第10级引回反馈,原理如图3。

该PN码发生器在MAXPLUS中的功能仿真结果如图2所示。

其中fpn为时钟源,Cpn就是得到的1,023位PN码的一部分(基于可视性考虑,此处只贴出了其中的一段)。

由于芯片7064SLC在上电后自动把内部寄存器清零,所以应在PN码发生器中引入一个寄存器初始化环节,避免由于寄存器输出全零造成的PN码发生器死锁状态。

图3中第一个信号prn就是片内寄存器初始化信号,prn=0将PN码发生器中的寄存器全部置1,使PN码发生器脱离死锁状态,开始工作。

B.用CPLD实现大步进逻辑大步进延迟锁定环路中,大步进电路的作用是实现大步进逻辑,即根据判决输出Vc电平高低控制本地PN码的步进:

当Vc为高电平时,使本地PN码大步进m位,当Vc为低电平时,停止本地PN码的步进。

大步进逻辑电路如4所示。

当判决输出Vc为高电平时(未同步),门G1被封锁,输出低电平打开门G2。

此时时钟脉冲fvco通过反相器G2,得到PN码发生器时钟fPN。

当判决输出Vc为低电平时(同步),门G1被打开,扣脉冲信号Q通过G1反相到达G2,控制fvco使得输出时钟被扣除m个脉冲。

以上逻辑电路在MAXPLUS开发系统中,可以直接采用原理图方式输入。

与门、与非门以及D触发器在Altera的prim库中都有,可以直接调用。

计数分频器在Altera的mf库中也有,但考虑到调试中分频比m和n要随时灵活变化,故采用了自编的VHDL计数器程序。

程序代码如下:

=libraryieee;

useieee.std_logic_1164.all;

entitycounter_6isport(clk,s:

instd_logic;

cnt_out:

outstd_logic);

endcounter_6;

architecturebehaviorofcounter_6isconstantcount_model:

integer:

=6;

beginprocess(clk,s)variablen:

integerrange0to50;

variableqc:

std_logic;

beginif(s=0)thenqc:

=1;

elsif(clkeventandclk=1)thenif(n=count_model)thenn:

=0;

elsen:

=n+1;

endif;

if(n=count_model)thenqc:

=0;

elsif(n=count_model-1)thenqc:

=1;

elseqc:

cnt_out=qc;

endprocess;

endbehavior;

=以上VHDL程序描述了一个带置1端的六位计数器。

常量count_model代表计数器模值(通过修改count_model的值可以得到任意模的计数器);

s为整个计数器的置一端,低电平有效;

clk为计数器输入;

cnt_out为计数器输出。

该计数器的功能仿真结果如图5所示,当s=0时计数输出为1;

当s=1时计数器每隔6个输入脉冲输出一个低电平脉冲。

本文小结今后通讯行业相关产品的发展将向着“数字化、软化、集成化”方向发展,在有限的空间内用最可靠、最简便、最小型化的方案实现同样或更好的功能将成为最具竞争力的方案。

本文所介绍的用CPLD完成关键逻辑功能的大步进快速捕获延迟锁定环为应用新型的复杂可编程逻辑器件技术对系统中关键模块软化、集成化提供了一个较好的解决方案。

同时,由于该环路采用了大步进捕获方法,因而具有很快的捕获时间和较强的抗干扰能力,特别适用于低信噪比的应用。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 幼儿教育 > 家庭教育

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1