fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx

上传人:b****5 文档编号:20649605 上传时间:2023-01-24 格式:DOCX 页数:49 大小:3.98MB
下载 相关 举报
fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx_第1页
第1页 / 共49页
fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx_第2页
第2页 / 共49页
fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx_第3页
第3页 / 共49页
fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx_第4页
第4页 / 共49页
fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx_第5页
第5页 / 共49页
点击查看更多>>
下载资源
资源描述

fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx

《fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx(49页珍藏版)》请在冰豆网上搜索。

fpga入门及quartusii使用教程内部资料Word文档下载推荐.docx

8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;

后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。

9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真软件来对设计进行反复仿真和验证,直到满足要求。

(主要是时序仿真)。

第二章基于QuartusII的实例

一、建立工程

首先,打开QuartusII软件。

接下来,建议一个新工程

第一行,是所建工程的路径,第二工程项目名称,第三项,是填好后,如下图

下边一直点击NEXT,直到出现以下界面

Family里边选择SratixII,Availabledevices里边选择EP2S60F672C5(具体内容根据你所使用的芯片所决定),接着点NEXT,不需要做任何修改了,一直点到Finish。

到此为止,工程已经建立完成。

需要建立一个BlockDiagram/SchematicFile,点击File->

New出现如下图。

点击OK,建立完成,工程中出现一个文件。

现在点“保存”是不管用的,建议随便放一个器件后点保存文件为bdf文件。

方法是在这个bdf文件空白处双击鼠标,或者右键点鼠标,点insert->

symbol

这里边的器件很多,可以再里边输入你所需要的器件,也可以直接点分类,根据分类查找你需要的器件。

点击File->

New,选择VHDLFile(根据你所使用的编程语言)

点击OK后,再下边的界面就可以编写VHDL程序了。

当然可以根据自己掌握的语言种类进行编程。

VHDL语言,注意:

保存的文件名字,必须与实体名字一致,否则编译会出错。

设置当前为最高实体。

点击那个紫色的三角,进行编译

下面就是产生模块了。

如图点击就可以

生成模块完成后,回到bdf主界面。

双击该界面,再Project下拉栏,就会出现刚才所编译文件生成的模块,左键点击就可以将其放入主原理图实体中,并且今后如果重新改变VHDL程序,必须走这个过程,先设置最高实体,然后编译,产生模块,最后要添加这样如下的过程。

放置模块的时候,通过自己的程序编译产生的模块,会在Project目录下,如图所示

特别注意:

已经做好的并且放入到原理图的模块程序如果需要改动,改动后也必须先编译,后产生模块,最后按照如下所示进行模块更新。

根据需求进行选择一下

以后每次要用的时候,都可以双击鼠标,进入project里边进行选择,进行使用。

右键点击模块,点Generate-……

引脚也可以自己设置输入输出引脚并且命名。

设置当前实体为最高实体,再次进行编译

编译完成后,要分配引脚,通常分配引脚有两种方式,一种是直接在工程分配,这种方式对于引脚较少比较方便,如下图所示。

选择PIN

双击引脚分配处的to和location,就可以确定应用的FPGA引脚分配情况。

分配好引脚后,点击保存,再看原理图,每个引脚后边都有一个“小尾巴”,表示信号线的实际物理引脚分配情况。

然后再编译。

最后在点击TOOL->

Programmer,或者直接点击下载图标

就会出现下载对话框

点击HardwareSetup如果你没插USB-BLASTER,打开后不会有显示,如果插上后,这里就会显示有一个硬件可以选择,右上位置选择下载方式。

注意:

JTAG模式和AS模式接口是不同的

选择好USB-BLASTER后,点Close,然后点Start

当前选择的是JTAG模式,因此下载程序到RAM,可以看调试结果。

至此,FPGA的原理图制作,代码编写流程及下载流程已经全部完毕。

现在以一个简单的分频器来讲一下。

第一件事,如同单片机的最小系统一样,FPGA的系统需要一个时钟源作为支撑,FPGA内部有个PLL(锁相环)资源,这个PLL可以对输入频率进行倍频。

因此,几乎在每个系统设计的时候,都需要对这个PLL进行设置。

如下所示

选择第一个

点NEXT,往下进行选择IO栏目下的ALTPLL,给这个模块起一个名字叫PLL然后点NEXT

根据提示进行选择,选择好了后,点击进入下一个设置

在这里,把所有的勾全部去掉就可以,然后一直点下一步,一直到下面图示,

每个芯片可以设置输出的频率个数不同,当前我用的ep2s60总共有2个PLL,每个PLL可以设置6个不同的频率输出。

现在就可以一直点下一步,直到Finish就可以。

然后再Project里边将PLL放置到原理图上。

新建一个test_div的程序,程序代码如下

libraryieee;

usetest_divis

port(

clkin:

instd_logic;

clkout1:

outstd_logic;

clkout2:

outstd_logic

);

endtest_div;

architecturefenpin_arcoftest_divis

signalcount1:

integerrange0to7;

--计数寄存器16分频

signalclkbuff1:

std_logic;

signalcount2:

integerrange0to3;

--计数寄存器8分频

signalclkbuff2:

begin

process(clkin,count1,count2)

begin

ifrising_edge(clkin)then--计数、分频1

if(count1>

=7)then

count1<

=0;

clkbuff1<

=notclkbuff1;

else

=count1+1;

clkout1<

=clkbuff1;

endif;

ifrising_edge(clkin)then--计数、分频2

if(count2>

=3)then

count2<

clkbuff2<

=notclkbuff2;

=count2+1;

clkout2<

=clkbuff2;

endprocess;

endfenpin_arc;

保存程序,设置当前为最高实体,进行编译,编译后产生模块,最终也可以放在原理图上了。

用鼠标将所需要连接的线连接起来,然后设置当前为最高实体,进行编译,分配引脚,编译,下载就可以完成了。

同时,除了下载进FPGA中进行调试外,我们还可以提前利用Quartus进行时序仿真。

如上边这个程序,生成一个Block放置在原理图上,然后再加上一个PLL,连接起来后,以下图示:

点击Processing->

SimulationDebug->

CurrentVectorInputs

在name处点右键,选择Inset->

InsetNodeorBus

点击NodeFinder,进入

可以选择引脚,通常我习惯于显示所有引脚,在Filter处选择all,当然也可以选择一些你需要的引脚,其他的引脚不显示,然后点击List,然后再点击加入符号,如图所示

点击OK,一直回到仿真页面,鼠标左键单击输入信号,给输入信号加所需信号,如clkin,是时钟信号,直接点击时钟符号,就可以进行设置

设置好输入后,可以点Edit菜单下的EndTime可以选择仿真多长时间。

全部设置好后,点保存,起好名字,然后点Startsimulation,便开始进行时序仿真。

仿真结束后,可以观察信号时序。

第三章MATLAB、DSPBUILDER、QUARTUS联合仿真

首先我们了解一个背景,现在在DSP算法软件中最牛的毋庸置疑的就是Matlab了。

N多人在用它搭建模型。

我们可以把Matlab分为matlab和simulink两部分。

Matlab更多的是对数组进行一系列的计算,而这些计算式静态的,纯粹算法上的。

而simulink是使用模块化的方式来搭建一个平台,这个模型才是动态的。

当我们用matlab做成一个算法的时候,这个算法在实际应用中有可能可以实现,当然也有可能实现不了,更多的是一种理论上的公式。

只有当我们用simulink来搭建出一个模型的时候,我们可以知道,它是可以被实现出来的,无论是软件,还是硬件方式。

DSPbuilder是什么通过前边的学习,我们知道,FPGA内部就如同一个个小积木。

DSPbuilder就是ALTERA公司工程师,专门用这些小积木已经搭建好了各式各样的小门、小窗、房梁……..而这些一个个做好的小模块,都放到了DSPBUILDER里边。

好了,这样,我们就可以在MATLAB的simulink环境下,用DSPBUILDER的内部小模块,开始堆积我们想要的那栋房子。

这一下就省去了我们的好多工作,因为现在很多模块资源都是现成的,不需要我们用VHDL或者是Verilog语言去做这些小模块。

当在simulink环境下模拟搭建好了后,我们通过DSP工具,就可以直接转换到QuartusII环境下,进行真正的搭建过程了。

当然了,这个过程QuartusII可以完全替代我们去完成。

同样,有一些功能模块,是DSPbuilder库里边所不具备的,这个时候还可以通过使用QuartusII进行语言编程,生成一个功能模块,并且加载到matlab的simulink库里边进行仿真应用。

利用Matlab软件中的Simulink模块进行通信系统的仿真,并通过DSPBuilder软件将系统级和RTL级(寄存器传输级)两个设计领域的设计工具连接起来,把Simulink的设计文件(后缀为.mdl文件)转成相应的硬件描述语言VHDL设计文件(后缀为.vhd文件),以及用于控制综合与编译的TCL脚本,之后即可通过FPGA/CPLD开发工具QuartusII来完成相应的处理。

DSPBuilder依赖于数学分析工具Matlab/Simulink,以Simulink的Blockset形式出现,可以在Simulink中进行图形化设计和仿真。

在安装DSPBuilder软件后,Matlab软件的Simulink库中会自动添加如下两个库:

AlteraDSPBuilderBlockset和AlteraDSPBuilderAdvancedBlockset。

后续的仿真及编译工作主要基于AlteraDSPBuilderBlockset库中的各个模块组成的系统。

下面以MatlabR2009b(Matalb7.9.0)版本为例,与Altera公司QuartusIIDSPBuilder软件对应使用,并以BFSK(二进制频移键控)的调制系统为例,详细介绍Simulink的使用步骤。

1.打开Matlab环境

Matlab环境界面如图所示,Matlab的主窗口界面被分割成三个窗口:

命令窗口(CommandWindow)、工作区(Workspace)和命令历史记录(CommandHistory)。

在命令窗口中可以键入Matlab命令,同时获得Matlab对命令的响应信息、出错警告提示等。

2.建立工作库

在建立一个新的设计模型前,最好先建立一个新的文件夹,作为工作目录,并把Matlab当前的work目录切换到新建的文件夹下。

可以点击“File”中的“SetPath”选项,添加该工作目录路径,如图所示“F:

\ProgramFiles\MATLAB\R2009b\work”,并将其移到目录顶部“Movetotop”然后保存。

在下一次打开Matlab时,可以通过改变主界面中的“CurrentFolder”,选择该目录路径,改变当前Matlab工作目录。

3、打开Simulink库

单击Matlab界面上的快捷键

(Simulink)可以打开Simulink的库文件,如图所示。

上图即为Simulink的库浏览器(LibraryBrowser),在库浏览器的左侧是SimulinkLibrary列表,右侧是选中的Library中的组件、子模块列表。

其中左侧Library列表中的“Simulink”库是Simulink的基本模型库。

当安装完DSPBuilder后,在Simulink的库浏览器中可以看到多出的两个库文件:

“AlteraDSPBuilderAdvancedBlockset”和“AlteraDSPBuilderBlockset”。

在以下的DSPBuilder应用中,主要是使用“AlteraDSPBuilderBlockset”库中的组件、子模型来完成各项设计,再使用Simulink完成模型的仿真验证。

4.Simulink的模型文件

在打开Simulink库浏览器后,需要新建一个Simulink的模型文件(后缀为mdl),如图,在Simulink的库浏览器中选择“File”菜单,在出现的菜单项中选择“New”,在弹出的子菜单项中选择新建模型“Model”即可,或者通过直接单击界面上的

打开一个空白文件。

5、添加正弦产生模块

如下图,点击Simulink库浏览器左侧的库内树形列表中的“Simulink”条,使其库器件展开,这时会出现一长串树形列表,对基本模型库的子模块(Block)进行了分组。

再次点击其中的“Sources”项,选中库浏览器右侧的“SineWave”组件,按住鼠标左键并拖动“SineWave”模块到新模型窗口中。

该模块即为BFSK调制的一个输入载波,为了便于确认,双击模块下方的名字“SineWave”,将其修改为“fc1”。

双击该模块可以得到“SourceBlockParameters:

fc1”的对话框,这里包括了该模块功能的介绍,以及各个相关参数的设定。

修改参数设置如上图,其中幅度(Amplitude)为2^10-1,表示输入信号位宽(NumberofBits)为11位;

频率(Frequency(rad/sec))设定为;

初始相位为pi/2表示产生余弦波;

由于采样频率为2MHz,采样时间(Sampletime)设为1/2000000。

设置完成后点击“OK”即可。

可以利用同样的方法放置并设置BFSK调制的另一个输入载波,频率为,其余参数相同即可。

6、添加输入端口模块

如下图,点击Simulink库浏览器左侧的库内树形列表中的“AlteraDSPBuilderBlockset”条,选择其中的“IO&

Bus”项并展开,选中库浏览器右侧的“Input”模块,同样按住鼠标左键将其拖动到模型窗口中。

将该输入端口名称改为“SinIn1”,双击模块,得到如下图的参数设置对话框,设置如下,总线类型(BusType)选择有符号整数(SignedInteger),输出位宽(numberofbits)设定为11位。

下面把这两个模块连接起来,将鼠标的指针移动到模块的输入或输出端口上,鼠标指针就会变成十字形“+”,这时按住鼠标左键,拖动鼠标就可以连线了。

或者先按住“Ctrl”键,然后用鼠标单击第一个模块fc1,再单击第二个模块SinIn1,则会自动产生连线,连线后如图所示。

7、完成BFSK调制模型

按照上述方法,依照BFSK调制系统的原理框图,可以逐步添加各个功能模块以及输入、输出端口,最终完成的BFSK调制模型文件如下图所示。

上图中用到了波形观察模块示波器“Scope”,该模块属于Simulink库下的Sinks库。

双击该模块,打开的是一个示波器窗口,其中只有一个信号的波形观察窗口,若希望可以同时观察多路信号,可以点击Scope模块窗口上侧工具栏的第二个工具按钮“Parameters”,参数设置按钮,打开Scope参数设置对话框。

在Scope参数设置对话框中有“Gerneral”(通用)和“Datahistory”(数据历史)两个选项页。

在“Gerneral”选项页中将“Numberofaxes”参数改为2,如图所示。

点击“OK”按钮确认后,可以看到Scope窗口增加为两个波形观察窗,每个观察窗都可以分别观察信号波形,而且相对独立。

频谱观察模块“SpectrumScope”属于“SignalProcessingBlockset”下的“SignalProcessingSinks”库,可以用来观察输出BFSK调制信号的频谱波形情况。

8、加入时钟模块

展开“AlteraDSPBuilderBlockset”库下的“AltLab”,选择“Clock”模块添加到模型文件中,并双击模块,设置参数如下图所示。

9、设计文件存盘

完成系统中各个模块的设置与连接后,在进行仿真验证和编译(SignalCompiler)之前,先对设计进行存盘操作。

点击新建模型窗口的“File”菜单,在下拉菜单中选择“Save”项,取名并保存,等同于直接点击窗口界面的

按钮。

在上述例子中,对新建模型取名为“fskmodu”,模型文件为。

在保存完毕后,新建模型窗口的标题栏就会显示模型名称,如下图所示。

对模型文件取名时,尽量用英文字母开头,不使用空格,不用中文,文件名不要过长。

10、Simulink模型仿真

在对模型取名存盘后,就可以对文件进行编译,并把mdl文件转换为VHDL文件。

不过现在模型的正确性还是未知的,需要进行仿真验证。

Matlab的Simulink环境具有强大的图形化仿真验证功能。

用DSPBuilder模块设计好一个新的模型后,可以直接在Simulink中进行算法级、系统级仿真验证。

对一个模型文件进行仿真,需要施加合适的激励、一定的仿真步进和仿真周期,并添加合适的观察点和观察方式。

在fskmodu模型窗口中,点击“Simulation”菜单,在下拉菜单中选择“ConfigurationParameters...”菜单项,将弹出fskmodu模型的仿真参数设置对话框“ConfigurationParameters:

fskmodu/Configuration(Active)”。

该对话框中的“Solver”选项包括了仿真基本的时间设置、步进间隔、方式设置及输出选项设置。

具体各项设置如上图所示,最后,点击“OK”按钮确认。

11、启动仿真

在fskmodu模型窗口中选择“Simulation”菜单,再选“Start”项开始仿真,或者直接点击

按钮开始。

待仿真结束,双击Scope模块,打开示波器观察窗。

下图给出了仿真结果,显示的是系统生成的BFSK调制信号的基带波形及时域波形图,在下面的放大波形图中,可以清晰的看到在不同的基带信号值“0”和“1”下,BFSK信号具有不同的频率,此时生成的是相位不连续的BFSK信号。

在Scope观察窗中,可以使用工具栏中的按钮来放大或缩小波形,或者用鼠标左键选择波形并放大,也可以在波形上单击鼠标右键使用“Autoscale”,使波形自动适配波形观察窗。

模块“fskmoduSpectrum”可以得到BFSK调制信号的频谱波形,如图所示。

该BFSK信号在频率为附近(及)两处有明显的波峰,表明实现了BFSK调制信号的产生。

12、编译模型文件

在Simulink中完成仿真验证后,就需要把设计转到硬件上加以实现,并获得针对特定FPGA芯片的VHDL代码,这是整个DSPBuilder设计流程中最为关键的一步,包括对模型文件的编译,以及RTL的仿真。

首先需要放置SignalCompiler模块,选择“AlteraDSPBuilderBlockset”库中“AltLab”项内的“SignalCompiler”模块,将其拖放到文件中,如图。

双击该模块,在下图所示的对话框中可以选择进行编译所使用的器件类型,此例中选择默认的StratixFamily,以及AUTODevice,单击“Compile”即可对完成的模型文件进行编译。

编译没有错误,成功完成后,点击“OK”即可,然后再次保存模型文件。

13、运行RTL仿真

这一步骤是将Simulink模型文件转化为VHDL语言,并可以利用ModelSim软件进行仿真。

首先添加“TestBench”模块,它也属于“AlteraDSPBuilderBlockset”库中的“AltLab”项,选择“TestBench”模块并将其拖拽到模型文件中,如图。

双击该模块,可以得到如下对话框“DSPBuilder-TestbenchGenerator–fskmodu”。

在“EnableTestBenchgeneration”前面打勾。

然后选择“Advanced”选项页,单击

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 法律文书 > 起诉状

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1