2FSK数字调制通信系统的设计Word下载.docx

上传人:b****6 文档编号:19982377 上传时间:2023-01-13 格式:DOCX 页数:35 大小:3.73MB
下载 相关 举报
2FSK数字调制通信系统的设计Word下载.docx_第1页
第1页 / 共35页
2FSK数字调制通信系统的设计Word下载.docx_第2页
第2页 / 共35页
2FSK数字调制通信系统的设计Word下载.docx_第3页
第3页 / 共35页
2FSK数字调制通信系统的设计Word下载.docx_第4页
第4页 / 共35页
2FSK数字调制通信系统的设计Word下载.docx_第5页
第5页 / 共35页
点击查看更多>>
下载资源
资源描述

2FSK数字调制通信系统的设计Word下载.docx

《2FSK数字调制通信系统的设计Word下载.docx》由会员分享,可在线阅读,更多相关《2FSK数字调制通信系统的设计Word下载.docx(35页珍藏版)》请在冰豆网上搜索。

2FSK数字调制通信系统的设计Word下载.docx

①无需载波复原,大大降低了系统复杂度。

②对幅度的非线性抗干扰能力强。

因为FSK信号为恒包络信号,其信息完全包含在信号的过零点上,因此比起调幅信号,其对幅度非线性抗干扰能力要强。

③调制解调易用软硬件实现,简单易明白。

关键字:

VHDL语言;

2FSK调制;

2FSK解调;

MFSK调制

2FSKDigitalmodemsCommunicationSystemDesign

Summary 

Modemisthekeyequipmentofcommunicationssystem;

itsperformancewillhaveadirecteffectontheperformanceofthewholesystem.ThedesignoftheFSKmodulationsystemhasadvantagessuchasanti-jamming,anti-noise,high-attenuationperformance,relativelylowtechnicalcomplexityandlowcost,thuswidelyapplyinginactualcircuit.Ithasbeenmorewidelyusedinthelow-speeddatatransmissioncommunicationsystem.Inaddition,manyintegratedchipalsousedtheFSKmodulationtechnique.

ThedesignoftheFSKcircuitcanbewidelyusedincomputernetworking,officeautomation,remote-controlledsystemandthefrequencyshiftincommunication.Moderncommunicationsystemsrequirefardistancecommunications,telecommunicationscapacity,andtransmissionquality.Asoneofthekeytechnologiesofitsmodemtechnologyhasalwaysbeenanimportantresearchingdirectionofpeople.FromtheearliestAMFManalogtechnologyimproving,andnowdigitalmodulationoftheextensiveuseoftechnology,makingthetransmissionofinformationmoreeffectiveandreliable.ThemainadvantagesofusingFSKmodulationare:

①withoutcarrierrecovery,significantlyreducingsystemcomplexity.②stronganti-interferencecapabilityontherateofnon-linear.BecausetheFSKsignalsareconstantenvelopesignals,theinformationaretotallyincludedintheover0.1signalpoint,ratherthanAMsignals,havingstrongeranti-interferencecapabilityontherateofnon-linear.③modemiseasytoachievebyusingsoftwareandeasy-to-understand.

Keyword:

VHDLLanguage;

The2FSKmake;

The2FSKsolutionadjust;

TheMFSKmake

绪论

现在社会通信技术的进展速度可谓日新月异,运算机的显现在现代通信技术的各种媒体中占有专门的地位,运算机在当今社会的众多领域里不仅为各种信息处理设备被使用,而且它与通信向结合,使电信业务更加丰富。

随着人类经济和文化的进展,人们对通信技术性能的需求也越来越迫切,从而又打打推动了通信科学的进展。

在通信理论上,先后形成了〝过滤和推测理论〞、〝香浓信息论〞、〝纠错编码理论〞、〝信源统计特性理论〞、〝调制理论〞等。

通信作为社会的差不多设施和必要条件,引起的世界各国的广泛关注,通信的目的确实是从一方向另一方传送信息,给对方以信息,然而消息的传送一样都不是直截了当的,它必须借助于一定形式的信号才能便于远距离快速传输和进行各种处理。

尽管基带信号能够直截了当传输,然而目前大多数信道不适合传输基带信号。

现有通信网的主体为传输模拟信号而设计的,基待数字信号不能直截了当进入如此的通信网。

基带信号一样都包含有频率较低,甚至是直流的重量,专门难通过有限尺寸的天线得到有效辐射,因而无法利用无线信道来直截了当传播。

关于大量有线信道,由于线路中多半串接有电容器或并接有变压器等隔直流元件,低频或直流重量就会受到专门大限制。

因此,为了使基带信号能利用这些信道进行传输,必须使代表信息的原始信号通过一种变换得到另一种新信号,这种变换救是调制。

实际中一样选正弦信号为基带信号,称为载波信号。

代表所传信息的原始信号,使调制载波的信号。

调制救是从载波的一个参量的变化来反映调制信号变化的过程。

用载波幅度的变化来反映调制信号的称为振幅调制;

用载波的频率、相位反映调制信号变化的调制分别成为频率调制和相位调制。

而实现这些调制过程得设备成为调制器。

从已调波形中复原调制信号的过程称为解调,相应的设备成为解调器。

一样讲调制器和解调器做成一个设备,可用于双向传输,称为调制解调器。

调制的另一目的是便于线路复用。

在进行夺路传输时,各路数据的原始基带型号的频谱往往是相互重叠的,不能在同一线路上同时出数。

通过调制后,各路信号可已搬移到频带湖不重叠的频段去传输,从而幸免多路传输中的相互干扰。

基于这种目的,信号经调制后在传输的方式又称为频带传输。

调制信号时模拟信号的称为模拟调制,模拟调制是对载波信号的参量惊醒连续调制,在同意端那么对载波信号的调制参量连续地估值;

而数字调制那么是用载波的某些离散状态来表征所传输的信息,在同意端也只要对载波信号的离散调制参量进行检测。

二进制数字调制所用调制信号由代表〝0〞〝1〞的数字信号脉冲序列组成。

因此,数字调制信号也成为键控信号。

在二进制振幅调制、频率调制和相位调制分别称为振幅键控〔ASK〕、频移键控〔FSK〕、相移键控〔PSK〕。

数字调制产生模拟信号,其载波参量的离散状态是与数字数据相对应的,这种信号适宜于在带通型的模拟信道上传输。

频率调制是利用载波的频率变化来传输信息的,其中最简单的一种方式是二进制频移键控〔2FSK〕调制,它是继振幅键控信号之后显现比较早的一种调制方式。

由于它的抗衰减性能优于ASK,设备又不算复杂,实现也比较容易,因此一直在专门多场合,例如在中低速数据传输,专门在有衰减的无线信道中广泛应用。

二进制频移键控〔2FSK〕用靠近在载波的两个不同频率表示两个二进制数。

FSK信号有两种产生方法:

载波调频法和频率选择法。

载波调频法产生的是相位连续的FSK信号,相位连续FSK信号一样由一个振荡器产生,用基带信号改变振荡器的参数,使震荡频率发生变化,这时相位是连续的。

频率选择法一样是相位不连续的FSK信号,相位不FSK信号一样由两个不同频率的振荡器长生,由基带信号操纵着两个频率信号的输出。

由于这两个振荡器是相互独立的因此在转换或相反的过程中,不能保证相位的连续。

了解了2FSK信号的差不多概念后,利用QuartusII软件中的VHDL语言对2FSK频移键控系统就行调制、解调的程序设计;

程序设计运行成功后,在利用VHDL语言对2FSK频移键控系统进行调制、解调的波形仿真;

最后通过VHDL语言制作出2FSK频移键控系统调制、解调的电路图。

1.EDA技术简介

EDA技术确实是依靠功能强大的电子运算机,在EDA工具软件平台上,对以硬件描述语言HDL〔HardwareDescriptionLanguage〕为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC〔ApplicationSpecificIntegratedCircuit〕芯片中,实现既定的电子电路设计功能。

EDA技术可把数字通信技术,微电子技术和现代电子设计自动技术结合起来,实现硬件设计软件化,加速了数字通信系统设计的效率,降低了设计成本。

利用EDA技术进行电子系统的设计,具有以下几个特点:

(1)用软件的方式设计硬件;

(2)用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;

(3)设计过程中可用有关软件进行各种仿真;

(4)系统可现场编程,在线升级;

(5)整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。

因此,EDA技术是现代电子设计的进展趋势。

1.1QuartusII简介

QuartusII是MAX+PlusIIde后续版本,QuartusII是业内第一个为FPGA、CPLD和结构化ASIC开发提供统一标准设计流程的设计工具,CPLD/FPGA是电子设计领域中最具活力和进展前途的一项技术,CPLD/FPGA能够完成任何数字器件功能,设计者能够通过传统原理图输入法〔GDF〕或硬件描述语言设计一个数字系统通过软件仿真我们能够事先验证设计正确性,在PCB完成后还能够利用CPLD在线修改能力随时修改设计而不必改动硬件电路。

电路设计与输入是指通过某些规范的描述方式,将工程师电路构思输入给EDA工具。

常用的设计方法有硬件描述语言〔HDL〕和原理图设计输入方法等。

原理图设计输入法在早期应用得比较广泛,它依照设计要求,选用器件、绘制原理图、完成输入过程。

这种方法的有点是直观、便于明白得、元器件库资源丰富。

然而在大型设计中,这种方法的可爱护性较差,不利于模块构造与重用。

更要紧的缺点确实是当所选用芯片升级换代后,所有的原理图都要做相应的改动。

目前进行大型工程设计时,最常用的设计方法是HDL设计输入法,其中阻碍最为广泛的HDL语言是VHDL和VerilogHDL。

他们的共同特点是利用由顶向下设计,利于模块的划分与复用,可移植性好,通用性好,设计不因芯片的工艺与结构不同而变化,更利于向ASIC的移植。

波形输入和状态机输入方法是两种常用的辅助设计输入方法:

使用波形输入时,志耘爱绘制出鼓舞波形与输出波形,EDA软件就能自动地依照响应关系进行设计;

使用状态机输入法时,设计者只需要画出状态转移图,EDA软件就能生成相应的HDL代码或原理图,使用十分方便。

大致设计流程为:

通过传统原理图输入法〔GDF〕或硬件描述语言〔VHDL,AHDL〕设计一个数字系统——生成相应的目标文件程序,通过下载电缆将代码下载到目标芯片。

这与过去传统意义的电子设计大不相同。

专门表现在:

传统设计是自底向上的设计,合格产品的设计总要反复多次试验,次数要紧取决于体会而且必须制成成品才能进行仪器测量。

因此现代EDA缩减了设计成本,缩短了设计周期,更接近于常规思维方式,标准产品方便测试,对设计者体会要求低,保密性强集成度高。

1.1.1QuartusII特点

QuartusII的特点在以下几个方面有突出的表现:

(1)领先的草坪绿地、FPGA和结构化ASIC设计技术。

QuartusII软件提供了最全面的FPGA、CPLD和结构化ASIC设计流程,PowerPlay功耗分析和优化技术以及其他的新特性和增强技术。

QuartusII在高密度FPGA设计、低成本FPGA设计和CPLD设计具有最正确的性能表现。

(2)独到的设计流程支持。

在QuartusII中,I/O的分配和确认能够在前段完成,如此就能够尽早开始设计PCB。

基于模块设计流程的LogicLock流程第一次在FPGA的设计中引入了高效的团队合作方法,使系统集成更容易和灵活。

QuartusII能够支持所有目前流行的EDA工具惊醒FPGA设计,通过命令行和工具命令语言〔TCL〕脚本与第三方EDAgongju进行接口。

(3)先进的系统设计和IP集成环境。

利用软件中的SOPCBuilder工具,还有可选的DPSBuilder工具,以及Altera和其合作伙伴提供的丰富IP核,用和甚至不用编写硬件描述语言〔HDL〕就能够集成和创建一个完整的系统。

(4)出众的时序靠近技术。

QuartusII带给高密度FPGA设计最先进的方案确实是时序靠近技术,这项技术将静态时序分析、时序靠近地层编辑器、新技术映射查看器、布局布线和物理综合引擎,以及第三方的物理综合工具紧密地结合起来。

(5)完整的验证方案。

除了集成了所有的业界领先的第三方合作伙伴的EDA验证工具外,QuartusII还提供了先进的多时钟时序分析能力,集成了功耗分析、芯片编辑器、实时的在系统修改能力、都有的无需重配置器件的情形下在系统升级储备器和常量的能力,以及SignalTapII嵌入式逻辑分析仪。

(6)众多的第三方EDA合作伙伴。

Altera与EDA合作伙伴同理合作,使用户在使用QuartusII过程中〔包括综合、功能时序仿真、静态时序分析、板级仿真、信号完整性分析以及形式验证〕能够与第三方EDA软件实现无缝连接。

1.1.2QuartusII性能

相关于MAX+plusII,QuartusII拥有更强大的功能和更高的性能,要紧表现在以下几个方面:

(1)支持更多的Altera可编程逻辑器件。

(2)性能更优秀。

关于MAX3000A、MAX3000AE、MAX7000B、MAX7000S、FLEX10K和ACEX设计,比MAX+PLUSII10.2版本提供更好的评判均性能表现〔平均设计性能快15%,而占用资源少5%〕。

(3)支持更全面的逻辑综合。

(4)支持MAXIICPLD和最新的FPGA器件系列的高级功能。

(5)与更多的第三方EDA长衫的工具实现无缝连接

1.2VHDL语言简介

VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,产生于1982年。

1987年底,VHDL被IEEE代了原有的非标准的硬件描述语言和美国国防部确认为标准硬件描述语言。

VHDL要紧用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特点的语句外,VHDL的语言形式和描述风格与句法是十分类似于一样的运算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体〔能够是一个元件,一个电路模块或一个系统〕分成外部〔或称可视部分,及端口)和内部〔或称不可视部分〕,既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就能够直截了当调用那个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的差不多点。

VHDL语言的差不多结构:

一个完整的VHDL语言程序通常包括实体声明〔EntityDeclaration〕、结构体〔ArchitectureBody〕、配置〔Configuration〕、程序包〔Package〕和库〔Library〕五个组成部分。

其中实体和结构体是不可缺少的。

前4种是可分别是编译的源设计单元。

库存放已编译的实体,结构体,配置和包;

实体用于描述系统内部的结构和行为;

包存放各设计模块都能共享的数据类型,常数和子程序等;

配置用于从库中选取所需要单元来支持系统的不同设计,即对库的使用。

库可由用户生成或芯片制造商提供,以便共享。

实体是描述系统的外部端口,实体说明用于描述设计系统的外部端口输入、输出特点;

结构体是描述系统内部的结构和行为,即用于描述设计系统的行为、系统数据的流程和系统内部的结构及事实上现的功能。

配置为属性选项,描述层与层之间、实体与结构体之间的连接关系,比如高层设计需要将低层实体作为文件加以利用,这就要用到配置说明,用于从库中选取所需设计单元来组成系统设计的不同版本。

程序包为属性选项,用于把共享的定义放置其中,具体地说要紧用来存放各种设计的模块都能共享的数据类型、常量和子程序等。

库要紧用于存放差不多编译的实体、结构体、程序包和配置,可由用户自主生成或有ASIC芯片制造商提供相应的库,以便于设计中为大伙儿所共享。

2.FSK调制解调的差不多原理

2.12FSK的调制

频移键控即FSK〔Frequency-ShiftKeying〕数字信号对载波频率调制,要紧通过数字基带信号操纵载波信号的频率来来传递数字信息。

在二进制情形下,〝1〞对应于载波频率,〝0〞对应载波频率,然而它们的振幅和初始相位不变化。

FSK信号产生的两种方法:

2.1.1直截了当调频法

用二进制基带矩形脉冲信号去调制一个调频器,使其输出两个不同频率的码元。

一样采纳的操纵方法是:

当基带信号为正时〔相当于〝1”码〕,改变振荡器谐振回路的参数〔电容或者电感数值〕,使振荡器的振荡频率提高〔设为f1〕;

当基带信号为负时〔相当于〝0”码〕,改变振荡器谐振回路的参数〔电容或者电感数值〕,使振荡器的振荡频率降低〔设为f2〕;

从而实现了调频。

这种方法产生的调频信号是相位连续的,尽管实现方法简单,但频率稳固度不高,同时频率转换速度不能做得太快,然而其优点是由调频器所产生的FSK信号在相邻码元之间的相位是连续的

2.1.2频率键控法

频率键控法也称频率选择法。

它有两个独立的振荡器,数字基带信号操纵转换开关,选择不同频率的高频振荡信号实现FSK调制。

图2.1.1频率健控法原理框图

键控法产生的FSK信号频率稳固度能够做得专门高同时没有过渡频率,它的转换速度快,波形好。

频率键控法在转换开关发生转换的瞬时,两个高频振荡的输出电压通常不可能相等,因此uFSK〔t〕信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情形。

2.1.32FSK的调制方框图及电路符号

图2.1.22FSK调制方框图

图2.1.32FSK调制电路符号

2.22FSK的解调

数字频率键控〔FSK〕信号常用的解调方法有专门多种如:

2.2.1同步〔相干〕解调法

在同步解调器中,有上、下两个支路,输入的FSK信号通过

两个带通滤波器后变成了上、下两路ASK信号,之后其解调原理与ASK类似,但判决需对上、下两支路比较来进行。

假设上支路低通滤波器输出为

,下支路低通滤波器输出为

,那么判决准那么是:

图2.2.1相干解调法原理框图

接收信号通过并联的两路带通滤波器进行滤波与本地相干载波相乘和包络检波后,进行抽样判决,判决的准那么是比较两路信号包络的大小。

假设上支路低通滤波器输出为cos

,下支路低通滤波器输出为cos

假如上支的信号包络较大,那么判决为〝1〞;

反之,判决为收到为〝0〞。

2.2.22FSK滤波非相干解调

输入的FSK中频信号分别通过中心频为、的带通滤波器,然后分别通过包络检波,包络检波的输出在t=kTb时抽样〔其中k为整数〕,同时将这些值进行比较。

依照包络检波器输出的大小,比较器判决数据比特是1依旧0。

图2.2.2滤波非相干解调原理框图

2.2.32FSK解调方框图及电路符号

图2.2.32FSK解调方框图

图2.2.42FSK调制电路符号

2.3MFSK调制解调

2.3.1MFSK直截了当解调

多进制数字频率调制也称多元调频或多频制。

M频制有M个不同的载波频率与M种数字信息对应,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时刻内只发送其中一个频率。

串/并变换电路和逻辑电路将输入的二进制码转换成M进制的码,将输入的二进制码每k位分为一组,然后由逻辑电路转换成具有多种状态的多进制码。

操纵相应的M种不同频率振荡器后面所接的门电路,当某组二进制码来到时,逻辑电路的输出一方面打开相应的门电路,使该门电路对应的载波发送出去,同时关闭其它门电路,不让其它载波发送出去。

每一组二元制码〔log2M位〕对应一个门打开,因此信道上只有M种频率中的一种被送出。

因此,当一组组二进制码输入时,加法器的输出便是一个MFSK波形。

接收部分由多个中心频率为f1、f2、….fM的带通滤波器、包络检波器及一个抽样判决器、逻辑电路、并/串变换电路组成。

当某一载频来到时,只有相应频率的带通滤波器能收到信号,其它带通滤波器输出差不多上噪声。

抽样判决器的任务确实是在某一时刻比较所有包络检波器的输出电压,判定哪一路的输出最大,以达到判决频率的目的。

将最大者输出,就得到一个多进制码元,经逻辑电路转变成k位二进制并行码,再经并/串变换电路转换成串行二进制码,从而完成解调任务。

2.3.2MFSK分路滤波相干解调

MFSK信号除了上述解调方法之外,还可采纳分路滤波相干解调方式。

现在,只需将包络检波器用乘法器和低通滤波器代替即可。

但各路乘法器需分别送入不同频率的相干本地载波。

MFSK系统提高了信息速率,误码率与二进制相比却增加不多,但占据较宽的频带,因而频带利用率低,多用于调制速率不高的传输系统中。

这种方式产生的MFSK信号的相位是不连续的,可看作是M个振幅相同、载波不同、时刻上互不相容的二进制ASK信号的叠加。

因此其带宽

其中为最高载频;

为最低载频;

为码元速率。

2.3.3MFSK调制电路方框图

图2.3.1MFSK调制电路方框图

3.FSK基于VHDL语言的调制解调设计

3.12FSK基于VHDL语言的调制过程

3.1.12FSK基于VHDL语言调制程序

文件名:

PL_FSK

--功能:

基于VHDL硬件描述语言,对基带信号进行FSK调制

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 销售营销

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1