基于AD9851的DDS信号源发生器Word文件下载.docx

上传人:b****6 文档编号:19875922 上传时间:2023-01-11 格式:DOCX 页数:38 大小:706.13KB
下载 相关 举报
基于AD9851的DDS信号源发生器Word文件下载.docx_第1页
第1页 / 共38页
基于AD9851的DDS信号源发生器Word文件下载.docx_第2页
第2页 / 共38页
基于AD9851的DDS信号源发生器Word文件下载.docx_第3页
第3页 / 共38页
基于AD9851的DDS信号源发生器Word文件下载.docx_第4页
第4页 / 共38页
基于AD9851的DDS信号源发生器Word文件下载.docx_第5页
第5页 / 共38页
点击查看更多>>
下载资源
资源描述

基于AD9851的DDS信号源发生器Word文件下载.docx

《基于AD9851的DDS信号源发生器Word文件下载.docx》由会员分享,可在线阅读,更多相关《基于AD9851的DDS信号源发生器Word文件下载.docx(38页珍藏版)》请在冰豆网上搜索。

基于AD9851的DDS信号源发生器Word文件下载.docx

频率合成;

Abstract

Frequencysynthesizerisoneofthemostimportantpartsusedinmodemcommunicationandelectronicsystems.Withthedevelopmentofmodemcommunicationtechniques,frequencysynthesizerisrequiredtohavehigherperformancesuchaswideband,fastfrequencyswitchingspeed,lowspuriouslevel,pureoutputspectrum,highresolution,etc.Directdigitalsynthesis(DDS)isanewfrequencysynthesistechnologycomingafterdirectfrequencysynthesis(DS)andphaselockedloopfrequencysynthesis(PLL).It’swidelyusedinsuchfieldsasradar,communication,electronicwarfareandelectronicmeasurementinstrument.

Thispaperfirstlyintroducestheconceptanddevelopmentoffrequencysynthesis,aswellasthepresentsituationandthetrendofdevelopmentofthedirectdigitalfrequencysynthesistechnology(DDS).IthasanalyzedtheprincipleandthebasicstructureofDDS,thenaccordingtothetargetsystem,asignalgeneratorwiththebriefstructureandexcellentperformanceisdesignedwithadoptingDDStechnology,whichisbasedontheADcompany’sDDSchir-AD9851.Thesystemstructure,thedesignofsoftwareandhardwareandthewaytorealizethecircuitryofthesignalgeneratorisanalyzedindetail.Thehardwareofthesignalgeneratorconsistsofthreeblocks,thosearesignalgeneratemodule、keyboardandLCDdisplaymodule、signalprocessingmodule.SoftwareofthesystemmainlyfocusesonthedesignofC51includingcontrol、dataprocessing、communication,andsoon.ThecorrespondingPCBhasbeenmadeanddebugged.Thewholecircuitworkswell.Thesignalgeneratorhasmanyvirtues,suchasgeneratingmultiplesortsofsignals,highprecisionandwidefrequencywidth.Thenoiseinversionandoptimizationofspurioussuppressioncharacteristicareanalyzedindepthaccordingtorequirementofthesyntheticperformance.Atlast,thispaperproposesimprovementprogrambycomparingtheactualcircuits.

Keywords:

signalgenerator;

AD9851;

frequencysynthesis.

第1章绪论

随着现代电子技术的发展,在自动化系统、通信系统,电子对抗以及各种电子测量技术中,我们常常需要一个高精度、频率可变的信号源。

近年来随着直接数字频率合成技术(DirectDigitalFrequencySynthesis,简称DDS)的发展,这个问题已经得到很好的解决,与传统的频率合成技术相比,DDS具有频率分辨率高、频率转变速度快、输出相位连续、相位噪声低、可编程和全数字化、便于集成等优点。

用DDS合成的频率源是目前很高级的技术,研究该信号源有比较重要的理论意义和现实意义。

1.1课题来源

该题目是自选题目

1.2课题背景

在现代雷达、通信、电子对抗等系统中频率合成器有着广泛的应用,它是

电子系统的心脏,是决定电子系统性能的关键设备,很多现代电子设备和系统

的功能都直接依赖于所使用的频率合成器的性能。

直接数字频率合成(DDS)技术是近二十年新兴的一种频率合成技术,它具有分辨率高、切换速度快、相位连续等一系列优点。

由于DDS技术具有众多优点,使得它在通信领域如数字调制、移动通信、扩频通信等方面得到了广泛使用。

1.3直接数字频率合成技术的现状

随着数字信号理论和超大规模集成电路VLSI的发展,在频率合成领域诞生

了一种革命性的技术,那就是七十年代出现的直接数字频率合成DDS,它的出现标志着频率合成技术迈进了第三代。

1971年3月J.Tiemey和C.M.Tader等人首先提出了DDS的概念;

利用数字方式累加相位,再以相位之和作为地址来查询正弦函数表得到正弦波幅度的离散数字序列,最后经D/A变换得到模拟正弦波输出。

在系统时钟一定的情况下,输出频率决定于频率寄存器中的频率字。

而累加器的字长决定分辨率。

基于这样的结构DDS频率合成器具有以下优点:

(1)频率分辨率高,输出频点多,可达2N个频点(N为DDS相位累加器的字长);

(2)频率切换速度快,可达岸us量级;

(3)频率切换时相位连续;

(4)可以输出宽带正交信号;

(5)输出相位噪声低,对参考频率源的相位噪声有改善作用;

(6)可以产生任意波形;

(7)全数字化实现,便于集成,体积小,重量轻。

运用DDS技术生产的DDS任意波形信号发生器是一类较新的信号源并已

经广泛投入使用。

它不仅能产生传统函数信号器能产生的正弦波、方波、三角波、锯齿波,还可以产生任意编辑的波形。

由于DDS的自身特点,还可以很容易的产生一些数字调制信号,如FSKPSK等,一些高端的信号发生器甚至可以产生通信信号。

同时输出波形的频率分辨率、频率精度等指标也有很大的提高。

1.4本文的主要工作

本论文的任务是根据DDS信号发生器的特点和应用情况,结合新一代高性能芯片设计一种使用简单、性能优良的信号发生器。

整个系统以单片机STC89S52控制,DDS芯片AD9851为核心,配置相应的外设及接口电路,用C语言开发,组成一个多功能的信号发生器。

本文的主要工作如下:

(1)根据课题提出的指标和要求进行研究,分析其可行性。

(2)对系统的各个功能模块进行了深入的分析和研究,在对课题所采用的方

案进行详细的研究后设计了具体的功能电路。

(3)熟悉所选择的各集成电路芯片并完成具体电路的设计、PCB板布局与模

块结构设计,对各模块电路进行了精心调试。

(4)对系统的最终指标进行了测试,针对系统的不足,进行了分析并提出了一些改进的方法。

第2章系统总体方案的分析和设计

2.1DDS技术的基本原理

DDS的基本工作原理是在采样时钟信号的控制下,通过由频率码控制的相位累加器输出相位码,将存储于只读存储器的波形量化采样数据值按一定的规律读出,经D/A转换和低通滤波后输出正弦信号。

其主要组成为:

相位累加器、相位相加器、波形存储器、数字相乘器和D/A转换器。

下面就AD9851如何实现正弦波和方波来介绍DDS的基本原理,如图2-1所示。

图2-1中层虚线内是一个完整的可编程DDS系统,外层虚线内包含了AD9851的主要组成部分。

AD9851内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成。

可编程DDS系统的核心是相位累加器,它由一个加法器和一个N位相位寄存器组成。

每来一个外部参考时钟,相位寄存器便以步长M递加。

相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。

正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中0°

~360°

范围的一个相位点。

查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动DAC以输出模式量。

相位寄存器每过2N/M个外部参考时钟后返回到初始状态一次,相位地正弦查询表每一个循环也回到初始位置,从而使整个DDS系统输出一个正弦波。

输出的正弦波周期To=Tc*2N/M,频率fout=M*fc/2N,Tc、fc分别为外部参考时钟的周期和频率。

AD9851采用32位的相位累加器将信号截断成14位输入到正弦查询表,查询表的输出再被截断成10位后输入到DAC,DAC再输出两个互补的电流。

DAC满量程输出电流通过一个外接电阻RSET调节,调节关系为ISET=32(1.148V/RSET),RSET的典型值是3.9kΩ。

将DAC的输出经低通滤波后接到AD9851内部的高速比较器上即可直接输出一个抖动很小的方波。

AD9851在接上精密时钟源和写入频率相位控制字之间后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。

在125MHz的时钟下,32位的频率控制字可使AD9851的输出频率分辨率达0.0291Hz;

并具有5位相位控制位,而且允许相位按增量180°

、90°

、45°

、22.5°

、11.25°

或这些值的组合进行调整。

图2-1AD9851的结构框图

2.2DDS的工作特点

DDS的原理使其具备了以下优良的工作特点:

(1)频率分辨率高

如前所述,DDS的分辨率在fc固定时,取决于相位累加器的位数N,只要N取足够大,理论上可以获得相应的频率分辨精度,这在传统的频率合成方法上是难以实现的。

(2)频率变化速度快

在DDS中,一个频率的建立时间通常取决于滤波器的带宽。

其影响因素有

内部数控振荡器内的工艺结构、数模变换及其它可能的信号处理步骤产生的时延,其中数字信号处理部分的时延与时钟周期相关。

由于DDS中无须相位反馈控制,因而频率建立及切换快并且与频率分辨率、频谱纯度相互独立。

(3)易于实现各种数字调制

由于DDS信号的频率、相位、幅度均可由数字信号控制,所以可以通过预

置内部相位累加器的初始值来精确控制输出信号,调幅时直接在ROM表输出端对幅度进行控制,调相时在相位累加器输出端直接加上调制信号即可,调频可通过频率控制字进行,在进行CHIRP调制时,也只需在频率控制字前再加一个累加器即可。

同时,DDS也非常易于实现如PSK、FSK等高精度的数字调制和正交调制。

(4)集成度高

DDS中除了滤波器以外,几乎所有的部件都属于数字信号处理部件,系统易于集成,功耗低,体积小,重量轻。

2.3系统设计原理

本文提出的采用DDS作为信号发生核心器件的全数控函数信号发生器设计方案,根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9851芯片,并通过单片机程序控制和处理AD9851的32位频率控制字,再经放大后加至以数字电位器为核心的数字衰减网络,从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。

本系统主要由单片机、DDS直接频率信号合成器、数字衰减电路、真有效值转换模块、A/D转换模块、数字积分选择电路等部分组成。

单片机AT89S52是整个系统关键部分,通过对键盘进行扫描读入相位信息,经转换后输出到芯片AD9851,输出波形。

键盘输入的数字信息经AT89S52控制的LCD1602显示。

2.4系统框图分析和设计

系统结构组成如图2-2所示,主要由单片机控制模块、键盘与显示模块、数字合成模块、滤波模块及运放模块。

其中,信号产生由单片机控制模块和数字合成模块实现,键盘与显示模块则用来实现人机交互的功能,滤波模块及运放模块用来对信号进行后期处理。

AD9851

图2-2信号发生器系统框图

用户从仪器面板上按键输入命令,数据将显示在字符型LCD上,并将命令

传输到单片机,再由单片机控制DDS芯片输出信号,并通过后级信号调理电路,最后输出所需的信号。

因为是可调控制,调节按钮不仅可以输出不同的波形,而且能增加和减小输出波形的频率。

第3章系统的硬件设计

因为本课题的功能电路与相关部件较多,为了便于研制期间的调试与最终

成品的产业化,所以系统的最后实现采用了模块化的思想,即先把各个相关的电路与部件做成相互独立的分离模块,而系统的功能则是通过各模块间的级联来完成的。

下面将分别叙述各功能模块及其中所用到的器件、电路以及在系统设计、调试过程中应该注意的问题。

3.1稳压电源的设计

单片机的+5v电源的获得可通过直流电或者交流电获得,通过直流电获得可直接用电脑USB接口、干电池及DC—DC的方式获得,而本系统通过7805稳压电路将12V电压转换成5V直流电压,输出电压稳定,其最大输出电流可为1A,能带动一定的负载。

3.1.1三端稳压集成电路7805简介

电子产品中,常见的三端稳压集成电路有正电压输出的78×

×

系列和负电压输出的79×

系列。

三端IC是指这种稳压用的集成电路,只有三条引脚输出,分别是输入端、接地端和输出端。

本系统需要使用+5v输出作为单片机电源,故选用7805。

用7805三端稳压IC来组成稳压电源所需的外围元件极少,电路内部还有过流、过热及调整管的保护电路,使用起来可靠、方便,而且价格便宜。

但要注意,其最大输出电流为1.5A,因此在使用中要注意电路电流上限。

7805的引脚图为3-1,其电路图为3-2。

3-17805引脚图3-27805电路图

3.1.2系统电源电路设计

12V的直流电源经过滤波电路、7805稳压电路后输出+5v直流电源,工作原理如图3-3:

图3-3+5v电源工作原理图

在实际电路中,12V的直流电源需要在这里接一个100uF的电解电容。

变压器输出端的12V电压经电容滤波,在电容C1两端大约会有11V多一点的电压,假如从电容两端直接接一个负载,当负载变化或交流电源有少许波动都会使C1两端的电压发生较大幅度的变化,因此要得到一个比较稳定的电压,还需在这里接一个三端稳压模块7805。

由于7805的输出端不能高于输入端,所以用二极管加以保护。

三端稳压器前后面各接一个104电容C1和C3,有滤波和阻尼的作用。

同时利用R1和发光二极管作为电源状态指示灯,以便实时了解电源是否正常工作。

系统电源电路如图3-4所示。

图3-4电源电路

3.2DDS芯片的选择及与单片机之间的通信

信号的产生与控制部分电路由DDS片AD9851与单片机AT89S52组成,用户通过键盘输入的信号要求被AT89S52接收,并经其处理后将计算出的控制字传送给AD9851,由AD9851产生频率幅度可控的信号。

下面以AD9851芯片为中心加以讨论。

3.2.1DDS芯片选择及引脚图

本系统采用了美国模拟器件公司生产的高集成度产品AD9851芯片。

AD9851是在AD9850的基础上,做了一些改进以后生成的具有新功能的DDS芯片。

AD9851相对于AD9850的内部结构,只是多了一个6倍参考时钟倍乘器,当系统时钟为180MHz时,在参考时钟输入端,只需输入30MHz的参考时钟即可。

AD9851是由数据输入寄存器、频率/相位寄存器、具有6倍参考时钟倍乘器的DDS芯片、10位的模/数转换器、内部高速比较器这几个部分组成。

其中具有6倍参考时钟倍乘器的DDS芯片是由32位相位累加器、正弦函数功能查找表、D/A变换器以及低通滤波器集成到一起。

这个高速DDS芯片时钟频率可达180MHz,输出频率可达70MHz,分辨率为0.04Hz。

AD9851采用28引脚的SSOP表面封装,其引脚排列如图3-5所示,各引脚定义如下:

D0~D7:

8位数据输入口,可给内部寄存器装入40位控制数据。

PGND:

6倍参考时钟倍乘器地。

PVCC:

6倍参考时钟倍乘器电源。

W-CLK:

字装入信号,上升沿有效。

FQ-UD:

频率更新控制信号,时钟上升沿确认输入数据有效。

FREFCLOCK:

外部参考时钟输入。

CMOS/TTL脉冲序列可直接或间接地加到6倍参考时钟倍乘器上。

在直接方式中,输入频率即是系统时钟;

在6倍参考时钟倍乘器方式,系统时钟为倍乘器输出。

AGND:

模拟地。

AVDD:

模拟电源(+5V)。

DGND:

数字地。

DVDD:

数字电源(+5V)。

RSET、DAC:

外部复位连接端。

VOUTN:

内部比较器负向输出端。

VOUTP:

内部比较器正向输出端。

VINN:

内部比较器的负向输入端。

图3-5AD9851管脚示意图

VINP:

内部比较器的正向输入端。

DACBP:

DAC旁路连接端。

IOUTB:

“互补”DAC输出。

IOUT:

内部DAC输出端。

RESET:

复位端。

低电平清除DDS累加器和相位延迟器为0Hz和0相位,同时置数据输入为串行模式以及禁止6倍参考时钟倍乘器工作。

3.2.2AD9851的串、并行通信

AD9851的串行操作有两种数据传送方式,即从最高位开始传送和从最低位开始传送,这是由控制寄存器1的第8位来决定的。

默认状态为低电平,此时先传送最高位,若为高电平则先传送最低位。

串行操作的时序如图3-6所示。

图3-6控制字串行输入时序图

在串行输入方式,W-CLK上升沿把25引脚的一位数据串行移入,当移动40位后,用一个FQ_UD脉冲即可更新输出频率和相位。

图3-7是相应的控制字串行输入的控制时序图。

AD9851的复位(RESET)信号为高电平有效,且脉冲宽度不小于5个参考时钟周期。

AD9851的参考时钟频率一般远高于单片机的时钟频率,因此AD9851的复位(RESET)端可与单片机的复位端直接相连。

图3-7控制字并行输入的时序图

在并行装入方式中,通过8位总线D0-D7将可数据输入到寄存器,在重复5次之后再在FQ-UD上升沿把40位数据从输入寄存器装入到频率/相位数据寄存器(更新DDS输出频率和相位),同时把地址指针复位到第一个输入寄存器。

接着在W-CLK的上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W-CLK上升沿后,W-CLK的边沿就不再起作用,直到复位信号或FQ-UD上升沿把地址指针复位到第一个寄存器。

3.3单片机(AT89S52)控制电路

AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。

使用ATMEL公司高密度非易失性存储器技术制造,与工业80C51产品指令和引脚完全兼容。

片上Flash允许程序存储器在系统可编程,亦适于常规编程器。

在单芯片上,拥有灵巧的8位CPU和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、有效的解决方案。

AT89S52具有以下标准功能:

8k字节Flash、256字节RAM、32位I/O口线、看门狗定时器、2个数据指针、三个16位定时器/计数器、一个6向量2级中断结构、全双工串行口、片内晶振及时钟电路。

另外,AT89S52可降至0Hz静态逻辑操作,支持2种软件可选择节电模式。

空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。

掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。

一般单片机需外接一个时钟电路和一个复位电路,其设计为下:

3.3.1时钟电路

图3-8时钟电路

XTAL1是片内振荡器的反相放大器输入端,XTAL2则是输出端,使用外部振荡器时,外部振荡信号应直接加到XTAL1,而XTAL2悬空。

内部方式时,时钟发生器对振荡脉冲二分频,如晶振为12MHz,时钟频率就为6MHz。

晶振的频率可以在1MHz-24MHz内选择。

电容取30PF左右。

AT89C52中有一个用于构成内部振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别是该放大器的输入端和输出端。

这个放大器与作为反馈元件的片外石英晶体或者陶瓷谐振器一起构成自激振荡器。

片外石英晶体或者陶瓷谐振器及电容C1、C2接在放大器的反馈回路中构成并联振荡电路。

对外接电容C1、C2虽然没有十分严格的要求,但电容容量的大小会轻微影响振荡频率的高低、振荡器工作的稳定性、起振的难易程序及温度稳定性,这里采用电容30pF,晶振采用11.0592MHz。

3.3.2复位电路

AT89C52的外部复位电路有上电自动复位和手动按键复位。

上电复位电容充电来实现。

手动按键复位又分为按键电平复位和按键脉冲复位。

按键电平复位电路是在普通RC复位电路的基础上接一个有下拉电阻100K、上拉电容10μf接VCC,电源由开关接至复位脚(和上拉电容并联),上拉电容支路负责在“上电”瞬间实施复位;

开关通过100K下拉电阻分压器,保证对单片机实施按键电平复位。

电路图如下图所示:

图3-9复位电路

3.3.3单片机控制电路图

图3-10单片机控制电路原理图

P0口:

P0口是一个8位漏极开路的双向I/O口。

作为输出口

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 教学研究 > 教学案例设计

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1