AD转换电路的PCB板图设计夏勇Word格式.docx

上传人:b****5 文档编号:19809156 上传时间:2023-01-10 格式:DOCX 页数:14 大小:237.51KB
下载 相关 举报
AD转换电路的PCB板图设计夏勇Word格式.docx_第1页
第1页 / 共14页
AD转换电路的PCB板图设计夏勇Word格式.docx_第2页
第2页 / 共14页
AD转换电路的PCB板图设计夏勇Word格式.docx_第3页
第3页 / 共14页
AD转换电路的PCB板图设计夏勇Word格式.docx_第4页
第4页 / 共14页
AD转换电路的PCB板图设计夏勇Word格式.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

AD转换电路的PCB板图设计夏勇Word格式.docx

《AD转换电路的PCB板图设计夏勇Word格式.docx》由会员分享,可在线阅读,更多相关《AD转换电路的PCB板图设计夏勇Word格式.docx(14页珍藏版)》请在冰豆网上搜索。

AD转换电路的PCB板图设计夏勇Word格式.docx

成绩:

________________________

年月日

课程设计任务书

设计

题目

A/D转换电路的PCB板图设计

设计类型

应用型

导师姓名

周泽华

黄慧

主要内容及目标

1完成A/D转换电路原理图及其PCB板图的设计;

2完成整流稳压电路仿真图设计,并对其进行仿真,输出几个节点的电压信号;

具有的设计条件

计算机及protel99se软件。

计划学生数及任务

09电子信息工程1班:

任务:

①绘制A/D转换电路原理图;

②电路原理图元器件库的设计;

③PCB板图设计;

④PCB板图封装库设计

⑤根据提供的电路仿真图进行仿真;

计划设计进程

1、从接题开始收集资料、准备设计

2、第1周学习电路原理图的绘制及PCB板图制作方法;

3、第2周完成设计任务,同时编写设计报告;

参考文献

1、《Protel99SE电路原理图与PCB设计及仿真》,机械工业出版社,作者:

清源科技 

2、《电路设计与制版protel99高级应用》,老虎工作室赵晶编著,人民邮电出版社

3、《protel电路原理图与pcb设计108例》,李华嵩编著,中国青年出版社

A/D转换电路的PCB板设计

1.摘要:

  EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

EDA技术是现代电子工程领域的一门新技术,它提供了基于计算机的电路设计方法。

EDA技术的发展和推广极大的推动了电子产业的发展。

掌握EDA技术是电子工程师就业的基本条件之一。

基于PCB的电路设计是EDA技术的重要内容,Protel软件是实现基于PCB设计的一个杰出工具。

Protel99SE软件主要包含以下几个模块:

原理图设计软件、电路板设计软件、用于PCB自动布线的ProtelAdvancedRoute99SE、可编程逻辑器件设计的ProtelAdvancedPLD、用于电路仿真的AdvancedSIM和用于信号完整分析的Advancedintegrity,其基本功能满足了一般用户的需求,对于初学者来说,软件容易上手、成本低。

本次实验我们使用Proter99SE将A/D转换电路制作成PCB板和仿真电路设计。

A/D转换电路制作成PCB板其步骤有:

环境设计、创建schematicDocument文件画出原理图,检验原理图、生成网表,建立PCBDocument文件载入网表、修改封装,元件布局、自动布线、手工调整布线、整体编辑、输出打印,结束。

关键词:

ProtelAdvancedRoute99SEProtelAdvancedPLDAdvancedSIMAdvancedintegrity

2.电路原理图及元器件库设计

2.1原理图设计

(1)启动原理图设计服务器

进入Protel99SE,创建一个数据库,执行菜单File菜单上的New命令,系统会弹出一个界面,由于在机房里计算机中只有F盘没有还原,故都保存在此盘中。

(2)设置图纸大小

绘制原理图是,首先应根据设计电路的实际大小、复杂程度和设计方式确定所用图纸的大小,默认的图纸大小一般为所安装的打印机的默认规格。

一般情况下为A4。

可在Design下拉菜单的Options选项中StandardStyle下拉列表框中设置图纸的规格,也可在CustomStyle选项组中自定义图纸的大小。

(3)设置设计环境

设置环境包括设置个点大小和类型、光标类型等。

一般大多数参数均可用系统默认值,设置之后无需修改。

可在Tools下拉菜单的Preferences选项中进行环境设置。

(4)装入所需的元件库

在设计管理器中选择BrowseSCH页面,在Browse区域中的下拉框中选择Library,然后单击ADD/Remove按钮,在弹出的窗口中寻找Protel99SE子目录,在该目录中选择Library\SCH路径,在元件库列表中选择所需的元件库,常见元件库ProtelDOSSchematicLibraries.ddb;

Sim.ddb,单击ADD按钮,即可把元件库增加到元件库管理器中。

(5)放置元件及布线

根据实际电路的需要,到元件库中找出所需的元件,然后用元件管理器的Place按钮将元件放置在工作平面上,再根据元件之间的走线把元件调整好。

接着利用Protel99SE提供的各种工具、指令进行布线,将工作平面上的器件用具有电气意义的导线、符号连接起来,构成一个完整的电路原理图。

A/D转换电路制原理

2.2原件库设计

设计步骤:

原理图中大部分元件都可以在元件库里找到,直接添加,而其中有一个元件在元件库中是找不到的,需要自己绘制。

新建如图文件:

通过工具框绘制元件如下图:

重命名后保存即可。

回到原理图绘制界面,按以上步骤加载自定义的元件库,就可以找到刚才绘制的元件。

具体步骤如下:

1.在工程下建立菜单栏File里新建schlib1.Lib

2.打开新建的schlib1.Lib,利用工具画出CN图

3.保存元件用Tools------RenameCommponent保存元件,元件名CN6,当使用cn6要ddRemove就可到此元件,然后进行和其他原件一起组装使用即可。

4.

元件CN图

3.PCB板图及封装库设计

3.1封装库设计

由于上文提到了元件CN在封装库中没有其所需的封装我们需创建一个封装CN6。

以便在后面的过程生成PCB所用。

1.建立文件PCBLibrayDocument文件。

2.在topoverlay层画上边框,边框大小为1600mil*400mil。

可以以原点为(00)的进行画图,比较容易,如果不在原点,可以通过计算画出长宽的大小。

3.设置焊盘第一个焊盘为方形其他为圆形,焊盘直径150mil,孔径50mil;

.焊盘之间的距离可以通过设置调节,也可不调节。

4.保存封装名为CN6如库,结束封装如图所示

5.

封装CN6图

3.2PCB板规划

设计好的元件需要放入PCB板中,所以我们需要规划一个大小适合的PCB板来盛放这些元件。

1.建立文件PCBLibray文件并打开。

2.设置好原点,在keepoutlayer画出边框大小为四个小方格。

一定要在keepoutlayer的环境中进行,不然以后自动排线和自动布局就无法正确的完成

3.3元件的封装

1.元件的封装是生成pcb过程中必须要完成的一项工作,首先打开常见元件的封装名

2.对于元件的属性找到相应的封装,然后载入

3.4络表生成/载入

1.网表生成:

PCB板规划完成后,我们需要在入网表(.NET文件),网表在原理图做好时可以通过Design-----Netlistcreation命令来生成。

如图

网络表文件图

3.网络表载入:

生成网络表之后我们就可以在PCB文件中载入它了,使用Design------loadNets----Execute来载入元件封装。

4.注意:

二极管封装DIODE0.4的两个焊盘的号码是A、K,我们的原理图上却是1、2,所以要在封装库里找到它并修改成1、2,在修改的过程中要注意将其他的属性不要修改

封装DIODE0.4

3.4PCB板图

根据上面设计的电路确定电路板的物理尺寸及电气边界。

步骤如下:

1.进入PCB设计编辑器,单击编辑区下方的KeepOutLayer,将当前工作层设置为KeepOutLayer,如图所示。

该层为禁止布线层,一般用于设置电路板的边界。

执行菜单命令Place/Keepout/Track,绘制电路板的边框。

执行菜单Design/Options,在“SignalLager”中选择BottomLager,把电路板定义为单面板。

2.执行命令Design/LoadNets,显示如下图所示的“Load/ForwardAnnotateNetlist”对话框。

单击Browse按钮,打开“Select”对话框。

在其中选择上面准备好的sheet1netlist文件。

若显示以上结果,则表示加载sheet1netlist无误,否则必须修改原理图,并且必须重新生成sheet1netlist后重复上述步骤。

确认没有错误信息后,单击Execute按钮,加载sheet1netlist与元件到电路板上。

3.元件后,我们可以把元件拖入边框之中再通过Tools--------AotoPlacement---------AotoPlacer来给元件排列位置,排列好后需要手工调整一下使得PCB板更加美观。

4.布好后就可以布线了,使用AotoRouteAll-----ALL打开Autoroutersetup选项可以在里面设置布

线的要求,设置完成后点击RoutAll自动布线。

至此PCB板制作基本完成如图。

关于二个pcb图的说明,第一个是把电容中的原来给的0.22uF改为1uF,第二个图中少一个电容的原因是少了电容是0.22uF故没有显示出来。

3D效果图

4.小结

在二个星期的EDA实训中,我自己学到了很多的知识,不仅是动手能力还是接受问题的能力都有了一个比较大的提高,在做实验的过程中当然也遇到了许多的问题。

在实训中我对这门以计算机为主体的课程有了一个比较深刻的理解。

EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。

  科研方面主要利用电路仿真工具进行电路设计与仿真;

利用虚拟仪器进行产品测试;

将CPLD/FPGA器件实际应用到仪器设备中;

从事PCB设计和ASIC设计等。

  从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。

另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。

如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。

在学习的工程中我也遇到了很多的问题和困难,下面就我遇到的问题我做个简单的罗列。

在刚开始的学习过程中,由于英语不好,工具栏上面很多的东西都不能应用。

在画电路图的过程中依然会有很多的问题,最主要的是找器件的问题,很多器件不知道它的全名所以很难找到。

在pcb中,一开始没有用keepoutlayer进行画个方格,而是随便画的,最后导致自动布线,自动布局没法正确的完成

在自己画器件的过程中有很多的工具不会用,画好了也是稀里糊涂的将其添加到pcb里面去了,具体是如何添加进去的感觉不太清楚

其实在学这门课程中一个最突出的问题就是很多时候我只是按照老师教我的步骤一步步往下做,却很难有自己独立的思考空间,为什么要这么做,做这些为什么,很多时候,我只是因为老师教我这么做,然后我就这么做,没有自己独立的思考问题。

参考文献:

课程设计评语

注:

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 外语学习 > 英语学习

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1