基于VHDL的地铁自动售票机系统设计.docx

上传人:b****1 文档编号:180046 上传时间:2022-10-05 格式:DOCX 页数:39 大小:999.54KB
下载 相关 举报
基于VHDL的地铁自动售票机系统设计.docx_第1页
第1页 / 共39页
基于VHDL的地铁自动售票机系统设计.docx_第2页
第2页 / 共39页
基于VHDL的地铁自动售票机系统设计.docx_第3页
第3页 / 共39页
基于VHDL的地铁自动售票机系统设计.docx_第4页
第4页 / 共39页
基于VHDL的地铁自动售票机系统设计.docx_第5页
第5页 / 共39页
点击查看更多>>
下载资源
资源描述

基于VHDL的地铁自动售票机系统设计.docx

《基于VHDL的地铁自动售票机系统设计.docx》由会员分享,可在线阅读,更多相关《基于VHDL的地铁自动售票机系统设计.docx(39页珍藏版)》请在冰豆网上搜索。

基于VHDL的地铁自动售票机系统设计.docx

毕业设计说明书(论文)

者:

学号:

业:

目:

基于VHDL的地铁自动售票机系统设计

指导者:



(姓名) (专业技术职务)

评阅者:



(姓名) (专业技术职务)

2014年5 月

毕业设计(论文)评语

学生姓名:

班级、学号:

题 目:

指导者评语:

指导者(签字):

综合成绩:

毕业设计(论文)评语

评阅者评语:

答辩委员会(小组)评语:

评阅者(签字):

年 月 日

答辩委员会(小组)负责人(签字):

年 月 日

毕业设计说明书(论文)中文摘要

由于社会的进步,人口都向城市流动,交通也越来越拥挤。

为此,出现了地铁。

随着科技的发展,人们对出行的要求越来越高,地铁自动售票机就应运而生了。

自动售票机实现了乘客自助购票,大大加快了出行速度。

本文主要讨论了用VHDL语言设计地铁自动售票系统。

VHDL语言有较强的描述能力,可以分模块编写程序再合并,所以能设计复杂、多层次的系统。

编写的程序可用Altera公司的QuartsII软件进行调试和仿真。

设计的地铁自动售票机最终要实现票价选择、投币状态、余额计算、自动出票、自动找零等功能。

关键词VHDL自动售票系统模块QuartsII仿真

毕业设计说明书(论文)外文摘要

Title Designofautomaticticketvendingmachinesystem

basedonVHDL

Abstract

Duetotheprogressofthesociety,thepopulationflowtocities,trafficisbecomingmoreandmorecrowded.Becauseofthis,thesubwayemerged.Withthedevelopmentofscienceandtechnology,people'sdemandfortravelishigherandhigher,sothesubwayticketmachinesisdeveloped.Automaticmachinestorealizethepassengerself-serviceticketing,greatlyacceleratethespeedoftravel.ThisarticlemainlydiscussedthedesignofmetroticketingsystemwithVHDLlanguage.VHDLlanguagehasastrongabilityofdescription,canwriteaprogramtomergemodule,socandesigncomplex,multi-layeredsystem.CodingcanuseAlteracorporation'sQuartsIIsoftwarecarriesonthedebuggingandsimulation.Thesubwayticketmachinescanachieveselectionofticketprice,insert_state,balancecalculation,automaticticket,automaticchangeandsoon.

KeywordsVHDLMetroticketingsystemModuleQuartsIISimulation

本科毕业设计说明 书(论文) 第Ⅰ页 共Ⅰ页

目 次

1引言 1

1.1研究意义 1

1.2研究背景 1

1.3研究任务 2

1.4研究内容 2

2理论基础 3

2.1FPGA 3

2.2VHDL语言 4

2.3QuartusⅡ软件 6

3课题设计 9

3.1设计要求 9

3.2系统分析与设计方案 9

4程序仿真 16

4.1自动售票机主控模块的波形仿真 16

4.2车票选择模块的波形仿真 17

4.3纸、硬币处理模块的波形仿真 18

4.4自动找零、出票模块的波形仿真 19

4.5整个售票机系统的波形仿真图 20

5 硬件验证 22

5.1上箱过程 22

5.2上箱结果 23

结论 25

致谢 26

参考文献 27

附录 28

本科毕业设计说明书(论文)

33页

共33页

1引言

自动售票机主要的控制模块可以用多种语言设计完成。

但这些语言有较大的差异,不利于后期的修改和使用者之间的交流。

而VHDL语言是一种功能强大、标准化的硬件描述语言。

用VHDL语言设计程序时可以先分块编写再合并,所以能够编写出多层次的程序,与此同时,VHDL语言编写的程序避免了其他语言只能在各自环境中使用的缺陷。

本章主要讨论了地铁的起源、发展,以及随着社会的发展,为了简化购票流程,从而设计出了自动售票机来代替人工售票等。

1.1研究意义

近年来,国民经济快速发展,城市的各项设施也越来越好,进而人们对交通的要求也不断增加。

因此,安全又快捷的地铁就出现了。

地铁的速度较快,且在行驶过程的载客数较多,这是公交所不具备的,而且它的出现增加了乘客的选择,也可以很好的缓解交通拥挤的情况。

如今,我国的地铁都实行售票制,而越来越多的乘客选择地铁出行也增加了售票工作的困难。

这也给自动售票机的诞生和创新提供了一个很好的市场潜力和发展空间[1]。

自动售票机系统是可以解决各类轨道交通系统的各个终端设备协同工作的平台[2],是基于计算机技术、网络技术、自动控制技术、大型数据库技术、机电一体化技术、模式识别技术、传感技术、精密机械技术等多项高新技术于一体的大型系统[3]。

乘客可以根据自动售票机显示屏上的操作指示选择要到达的地点以及购票张数,并投币完成购票。

它能够实现自主购票,可以减少地铁站的工作员工人数,人工售票的工作强度以及地铁站不必要的售票窗口的花费,同时它还能避免人工售票带来的一些失误,大大提高售票的正确率。

1.2研究背景

1863年,地铁在伦敦建成,是世界上第一条地铁,也是最长的地铁,至今已有一百多年的历史,。

而提出要建造这个条地铁的人确是英国的一个律师,叫查尔斯.皮尔逊。

他生活的那个年代路上的车辆已经很多,交通也比较拥挤,总是发生车祸,查尔斯暗暗发觉随着车辆的增多,这种情况发生的概率会越来越高,就在他发愁的时候,他发现才出现没多久的铁路时速快,载客量大。

于是,他向当地政府提出了将铁路建造在城市街道下面的想法,经过岁月的验证,最后被英国政府采纳,就有了地铁。

地铁出现后,由于它的轨道不与地面上的车辆以及建筑发生冲突,并且它的行驶

速度非常快,没有地面上车辆的车轮滚动的声音和鸣笛声,噪声很小,行驶过程比较平坦,一次性可以容纳的人数又是公交车的7-8倍,并且它使用电力驱动,不会污染环境,所以赢得了人们的青睐,经过不断改进,一直运行到现在。

地铁自从1863年在英国伦敦建成后到如今,随着城乡一体化的构建,大量农民涌入城市。

城市人口迅速膨胀,城市交通也越来越拥挤,而人们的生活节奏却越来越快,对售票系统的要求也越来越高,所以,人工售票被渐渐淘汰,取而代之的是高效快速的自动售票系统。

[4]

在国外,有一部分城市已经采用了自动售检票系统,并且已经有相当高的技术含量。

而中国的这项技术最初是从国外流传而来的,经过不断的研究创新,已开发出了很多新的产品,而这项技术也一直都在提高。

到目前为止,国内轨道交通AFC的技术已与城市一卡通接轨,实现城市甚至城市区间的一卡通。

1.3研究任务

⑴查阅相关资料,认真学习数字逻辑电路,要了解计时器、触发器等原理并会编写售票机程序中用到的逻辑电路。

⑵分析自动售票机要实现的功能,选择设计方案,并说明其工作原理。

⑶根据课题要求,学习VHDL语言和FPGA的基本知识,利用VHDL语言设计地铁自动售票机系统并对相关的软件程序进行说明。

⑷对地铁自动售票机系统进行仿真。

仿真结果要求:

①票价选择;②投币系统;

③余额计算;④出票;⑤自动找零。

⑸将编写好的地铁自动售票机系统上箱进行验证。

1.4研究内容

⑴首先学习此课题设计需要用到的VHDL语言,对它的结构特点、设计流程、基本语言、描述方式及主要描述语句有一定的了解。

⑵其次学习Altera公司的QuartusⅡ软件的系统特点、设计流程、设计方法以及基本操作。

⑶在学习了VHDL语言和QuartusⅡ软件的基础上用VHDL语言编写地铁自动售票机的程序,完成程序后再用QuartusⅡ软件对编写的程序进行调试和仿真,使其能够实现票价选择,投币系统,余额计算,自动出票,自动找零等功能。

2理论基础

2.1FPGA

2.1.1FPGA介绍

FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物[5]。

它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点[6]。

FPGA的编程语言有两种,一种是VHDL语言另一种则是Verilog语言,它们都是用于FPGA,也都比较常用。

而VHDL语言是由美国国防部创建的,并在1987年正式成为IEEE的标准硬件描述语言;而Verilog语言是从一家软件开发企业的开发成果中转移过来的,由于它各方面的特点都比较突出,在1995年终于成为了IEEE的标准硬件描述语言。

用VHDL或是Verilog语言编写的程序经过编译综合、仿真验证、引脚锁定之后将配置好的数据烧录至FPGA上进行测试。

在IC设计、验证方面常用到这种方法。

它既可以用来设计一些比较基础的电路模块,比如:

门电路、加法器等;也可以设计一些微复杂的时序逻辑电路,比如:

移位寄存器、计数器等;还可以设计一些更复杂的组合电路,比如:

解码器、编码器等。

FPGA的开发应用与个人计算机和单片机的差别较大,FPGA主要是通过并行运算和VHDL语言或是Verilog语言完成的,而个人计算机和单片机是用顺序运算的,这也加大了它开发的难度。

它的开发涉及顶层设计、模块分层、逻辑实现、软硬件调试等方面,再次说明它的开发并不容易。

2.1.2FPGA特点

FPGA器件是由Xilinx公司开发并推出的,在推出后它们一直都在不断更新中,长期以来,在FPGA领域保持着领先。

FPGA有以下几个特点:

⑴FPGA芯片内部的触发器数量比较多,所以更适合于结构复杂的时序逻辑电路。

⑵FPGA芯片的规模比较大,布线结构相对比较复杂,新型器件高达千万门级,所以常用它来完成复杂电路的设计[7]。

⑶FPGA芯片在出厂之前全部都要经过测试,所以有安全保障。

此外,开发FPGA所需的资金与普通的A

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 法学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1