SOPCEDA实验指导书Word格式.docx

上传人:b****6 文档编号:17215944 上传时间:2022-11-29 格式:DOCX 页数:43 大小:1.54MB
下载 相关 举报
SOPCEDA实验指导书Word格式.docx_第1页
第1页 / 共43页
SOPCEDA实验指导书Word格式.docx_第2页
第2页 / 共43页
SOPCEDA实验指导书Word格式.docx_第3页
第3页 / 共43页
SOPCEDA实验指导书Word格式.docx_第4页
第4页 / 共43页
SOPCEDA实验指导书Word格式.docx_第5页
第5页 / 共43页
点击查看更多>>
下载资源
资源描述

SOPCEDA实验指导书Word格式.docx

《SOPCEDA实验指导书Word格式.docx》由会员分享,可在线阅读,更多相关《SOPCEDA实验指导书Word格式.docx(43页珍藏版)》请在冰豆网上搜索。

SOPCEDA实验指导书Word格式.docx

使用QuartusII软件进行设计和开发的流程如图1.1所示,主要包括以下几个步骤:

图1.1QuartusII开发流程

第二节QuartusII设计输入

QuartusII软件的设计输入方法有很多种,具体如下:

--QuartusII

·

原理图式图形设计输入

文本编辑

--AHDL,VHDL,Verilog

内存编辑

--Hex,Mif

--第三方工具

EDIF

HDL

VQM

--或采用一些别的方法去优化和提高输入的灵活性

混合设计格式

利用LPM和宏功能模块来加速设计输入

QuartusII的编译器能够接受多种输入文件格式,也能输出多种文件格式。

图2.1给出了各种方式输入时所产生的文件格式。

图2.1QuartusII的设计文件

QuartusII的编译器的工作对象是项目。

因此,编译项目前必须确定一个设计文件作为当前的项目。

需要注意的是项目名必须与顶层设计文件名一致。

具体建立步骤如图2.2所示。

图2.2项目的建立步骤

用图形输入方式进行设计的一般流程为:

1、产生一个新的模块设计文件

--画出图表模块或输入设计单元符号

--输入接口和参数信息

--连接各个设计单元(利用单连线,总线等)

2、保存设计

--文件的后缀名为.bdf

3、顶层设计可以产生设计单元,头文件,或转化成Verilog/VHDL文件

具体步骤如图2.3所示

图2.3图形输入方式设计具体步骤

2.3、文本输入方式

QuartusII支持AHDL,VHDL及VerilogHDL等硬件描述语言。

采用进行文本设计的步骤如下:

(1)定项目并建立新文件;

(2)输入文本设计文件;

(3)将文本文件命名、保存;

(4)编译文件并检查、修改句法错误。

首先选择File/New,在对话框中选择DeviceDesignFile,新建一个VerilogHDLFile无标题文本窗口,然后输入文件名保存。

为了快速和准确地创建VerilogHDL文本文件,可以使用Verilog模板。

选择Edit/InsertTemplate/VerilogHDL,再选择ModuleDeclaration,点击OK按钮,这时就可以在对应的位置输入设计文件中的各项参数了,如图2.4所示。

利用模板输入,可以避免语法错误,提高输入效率。

图2.4利用模板进行文本编辑

第三节QuartusII编译

QuartusII编译器是由一系列处理模块构成的,这些模块负责对设计项目的检错,逻辑综合和结构综合。

即将设计项目适配进FPGA/CPLD目标器中,同时产生多种用途的输出文件,如功能和时序仿真文件,器件编程的目标文件等。

编译类型有如下几种:

--只是综合并输出网表

编译设计文件,综合产生门级代码

编译器只运行到综合这步就停止了

编译器只产生估算的延时数值

--完全的编译,包括编译,网表输出,综合,配置器件

编译器除了完成以上的步骤,还要将设计配置到ALTERA的器件中去

·

编译器根据器件特性产生真正的延时时间和给器件的配置文件

3.1指定器件

在编译项目前,需要为设计项目指定一种器件,否则编译器会自动选择一种器件。

选定器件的步骤如图3.1所示。

图3.1选择器件

选择菜单“Assignments“项中的”Assignpin..“,会出现如图3.2所示的对话框:

图3.2引脚锁定

设置完各种配置选项后,即可以开始编译设计项目。

如图3.3所示。

在编译过程中,所有信息、错误和告警将会在自动打开的信息处理窗口中显示出来。

如图3.4所示。

图3.2编译界面

图3.3编译产生的信息

仿真就是对设计项目进行一项全面彻底的测试,以确保设计项目的功能和时序特性,以及最后的硬件器件的功能与原设计相吻合。

仿真包括功能仿真和时序仿真。

功能仿真又称为前仿真,是在不考虑器件延时的理想情况下进行的逻辑验证。

通过功能仿真可以验证一个项目的逻辑功能是否正确。

时序仿真又称为后仿真,是在考虑了具体适配器件的各种延时的情况下进行的仿真。

时序仿真不仅能测试逻辑功能,还能测试目标器件在最差情况下的时间关系。

打开Assignments/settings/Simulator,可以选择Timing或Function仿真。

在仿真时需要向QuartusII仿真器提供输入激励向量,QuartusII支持多种仿真方法:

--波形方式输入

.vwf(向量波形文件)-是QuartusII中最主要的波形文件

.vec(向量文件)-是MAX+PLUSII中的文件,主要是为了向下兼容

.tbl(列表文件)-用来将MAX+PLUSII中的.scf文件输入到QuartusII中。

--支持Testbench

Tcl/TK脚本文件

--第三方的仿镇工具

Verilog/VHDLTestbench

现具体介绍常用的波形方式输入:

1、建立波形文件。

为此设计建立一个波形测试文件。

选择菜单“File”项及其“New”,再选择New窗中的Vectorwaveformfile项,打开波形编辑窗。

2、输入信号。

选择菜单“Edit”项的”Insertnode..“选项,选择要输入信号的节点。

并为输入信号设定必要的测试电平或数据,如图4.1所示。

图4.1设定必要的测试电平或数据

3、开始仿真。

波形文件存盘后,便可以运行仿真器,得出输出仿真波形。

对于EEPROM工艺的器件,一般将.pof编程文件下载到器件中;

而对于SDRM工艺的器件,则一般将.sof编程文件下载到器件中。

编程下载和硬件测试的步骤如下:

1、打开下载窗口。

选择菜单“Tool“项的”programmer“,便可打开下载窗口。

2、设置下载电缆。

将ByteBlaster电缆的一端与微机的并行口相连,另一端10针插头与装有目标器件的PCB板上的插座相连。

并在”HardwareSetup”中设置下载电缆,如图5.1所示。

图5.1设置下载电缆

3、设置JTAG链。

Altera器件基本都支持JTAG在系统编程方式,这种方式简单易行,不需要专门的编程器。

具体设置如图5.2所示。

图5.2设置JTAG链

4、开始下载。

加入编程设计文件后,便可以点击”start”开始下载,如图5.3所示。

图5.3开始下载

一、GW48系统使用注意事项(用户必读!

a:

闲置不用GW48系统时,必须关闭电源,拔下电源插头!

b:

在实验中,当选中某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作。

c:

换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。

其它接口都可带电插拔。

请特别注意,尽可能不要随意插拔适配板,及实验系统上的其他芯片。

d:

PC机的并行口工作模式设置在“EPP”模式(一般电脑上默认都为“EPP”模式)!

e:

跳线座“SPS”默认向下短路(PIO48);

右侧开关默认拨向“TOMCU”。

f:

对于GW48-PK2系统,左下角拨码开关除第4档“DS8使能”向下拨(8数码管显示)外,其余皆默认向上。

g:

对于右下角的“时钟频率选择”区的“clock0”上的短路帽,平时不要插在50/100M高频处,以免高频辐射。

以下将详述GW48系列EDA实验开发系统(GW48-PK2)结构与使用方法。

该系统的实验电路结构是可控的。

即可通过控制接口键,使之改变连接方式以适应不同的实验需要。

因而,从物理结构上看,实验板的电路结构是固定的,但其内部的信息流在主控器的控制下,电路结构将发生变化---重配置。

这种“多任务重配置”设计方案的目的有3个:

1.适应更多的实验与开发项目。

2.适应更多的PLD公司的器件。

3.适应更多的不同封装的FPGA和CPLD器件。

系统板面主要部件及其使用方法说明如下(请参看相应的实验板板面)。

以下是对GW48系统主板功能块的注释。

图1-1GW48-PK2系统电子设计二次开发信号图

(1)“模式选择键”:

按动该键能使实验板产生12种不同的实验电路结构。

这些结构如第二节的13张实验电路结构图所示。

例如选择了“NO.3”图,须按动系统板上此键,直至数码管“模式指示”数码管显示“3”,于是系统即进入了NO.3图所示的实验电路结构。

(2)适配板:

这是一块插于主系统板上的目标芯片适配座。

对于不同的目标芯片可配不同的适配座。

可用的目标芯片包括目前世界上最大的六家FPGA/CPLD厂商几乎所有CPLD、FPGA和所有ispPAC等模拟EDA器件。

表2-1中已列出芯片对系统板引脚的对应关系,以利在实验时经常查用。

(3)ByteBlasterMV编程配置口:

如果要进行独立电子系统开发、应用系统开发、电子设计竞赛等开发实践活动,首先应该将系统板上的目标芯片适配座拔下,用配置的10芯编程线将“ByteBlasterMV”口和独立系统上适配板上的10芯口相接,进行在系统编程,进行调试测试。

“ByteBlasterMV”口能对不同公司,不同封装的CPLD/FPGA进行编程下载。

编程的目标芯片和引脚连线可参考图1-1及表1-1,从而进行二次开发。

(4)ByteBlasterII编程配置口:

该口主要用于对Cyclone系列AS模式专用配置器件EPCS4和EPCS1编程。

(5)混合工作电压源:

系统不必通过切换即可为CPLD/FPGA目标器件提供5V、3.3V、2.5V、1.8V和1.5V工作电源,此电源位置可参考图1-1。

唯一需要切换的是1.8V和1.5V,如果希望将图1-1上1.8V位置的电压换成1.5V(如用于Cyclone系列器件),应该打开主系统板,对箱内电源板上的跳线接插于“1.5V”即可。

(6)JP5编程模式选择跳线:

如果要对Cyclone的配置芯片进行编程,应该挑选接于“ByBtII”端,在将标有“ByteBlasterII”编程配置口与适配板上EPCS4/1的AS模式下载口用10芯线连接起来通过QuartusII进行编程。

当短路“Others”端时,可对其它所有器件编程,端口信号参考图1-1。

(7)JP6编程电压选择跳线:

对5V器件,如10K10、10K20、7128S、1032、95108等,必须选“5.0V”。

而对低于或等于3.3V的低压器件,如1K30、1K100、10K30E、20K300、Cyclone、7128B等一律选择“3.3V”一端。

(8)并行下载口:

此接口通过下载线与微机的打印机口相连。

来自PC机的下载控制信号和CPLD/FPGA的目标码将通过此口,完成对目标芯片的编程下载。

计算机的并行口通信模式最好设置成“EPP”模式。

(9)键1~键8:

为实验信号控制键,此8个键受“多任务重配置”电路控制,它在每一张电路图中的功能及其与主系统的连接方式随模式选择键的选定的模式而变,使用中需参照第二节中的电路图。

(10)键9~键14:

此6个键不受“多任务重配置”电路控制,由于键信号速度慢,所以其键信号输入口是全开放的,各端口定义在插座“JP8”处,可通过手动节插线的方式来实用,键输出默认高电平。

注意:

键1至键8是由“多任务重配置”电路结构控制的,所以键的输出信号没有抖动问题,不需要在目标芯片的电路设计中加入消抖动电路,这样,能简化设计,迅速入门。

但设计者如果希望完成键的消抖动电路设计练习,必须使用键9至键14来实现。

(11)数码管1~8/发光管D1~D16:

受“多任务重配置”电路控制,它们的连线形式也需参照第二节的电路图。

(12)“时钟频率选择”:

位于主系统的右小侧,通过短路帽的不同接插方式,使目标芯片获得不同的时钟频率信号。

对于“CLOCK0”,同时只能插一个短路帽,以便选择输向“CLOCK0”的一种频率:

信号频率范围:

0.5Hz–50MHz。

由于CLOCK0可选的频率比较多,所以比较适合于目标芯片对信号频率或周期测量等设计项目的信号输入端。

右侧座分三个频率源组,它们分别对应三组时钟输入端:

CLOCK2、CLOCK5、CLOCK9。

例如,将三个短路帽分别插于对应座的2Hz、1024Hz和12MHz,则CLOCK2、CLOCK5、CLOCK9分别获得上述三个信号频率。

需要特别注意的是,每一组频率源及其对应时钟输入端,分别只能插一个短路帽。

也就是说最多只能提供4个时钟频率输入FPGA:

CLOCK0、CLOCK2、CLOCK5、CLOCK9。

(13)扬声器:

目标芯片声讯输出,与目标芯片的“SPEAKER”端相接,通过此口可以进行奏乐或了解信号的频率,它与目标器件的具体引脚号,应该查阅本章第3节的表格。

(14)PS/2接口:

通过此接口,可以将PC机的键盘和/或鼠标与GW48系统的目标芯片相连,从而完成PS/2通信与控制方面的接口实验,GW48-GK/PK2含另一PS/2接口,参见实验电路结构NO.5。

(15)VGA视频接口:

通过它可完成目标芯片对VGA显示器的控制。

详细连接方式“实验电路结构图”。

(16)单片机接口器件:

它与目标板的连接方式也已标于主系统板上:

连接方式可参见附图2-12。

实验板右侧有一开关,若向“TO_FPGA”拨,将RS232通信口直接与FPGA相接;

若向“TO_MCU”拨,则与89C51单片机的P30和P31端口相接。

于是通过此开关可以进行不同的通信实验,详细连接方式可参见附图2-12。

平时此开关应该向“TO_MCU”拨,这样可不影响FPGA的工作!

(17)RS-232串行通讯接口:

此接口电路是为FPGA与PC通讯和SOPC调试准备的。

或使PC机、单片机、FPGA/CPLD三者实现双向通信。

(18)“AOUT”D/A转换:

利用此电路模块(实验板左下侧),可以完成FPGA/CPLD目标芯片与D/A转换器的接口实验或相应的开发。

它们之间的连接方式可参阅“实验电路结构NO.5”:

D/A的模拟信号的输出接口是“AOUT”,示波器可挂接左下角的两个连接端。

当使能拨码开关8:

“滤波1”时,D/A的模拟输出将获得不同程度的滤波效果。

注意,进行D/A接口实验时,需打开系统上侧的+/-12V电源开关(实验结束后关上此电源!

)。

(19)“AIN0”/“AIN1”:

外界模拟信号可以分别通过系统板左下侧的两个输入端“AIN0”和“AIN1”进入A/D转换器ADC0809的输入通道IN0和IN1,ADC0809与目标芯片直接相连。

通过适当设计,目标芯片可以完成对ADC0809的工作方式确定、输入端口选择、数据采集与处理等所有控制工作,并可通过系统板提供的译码显示电路,将测得的结果显示出来。

此项实验首先需参阅第二节的“实验电路结构NO.5”有关0809与目标芯片的接口方式,同时了解系统板上的接插方法以及有关0809工作时序和引脚信号功能方面的资料。

注意:

不用0809时,需将左下角的拨码开关的“A/D使能”和“转换结束”打为禁止:

向上拨,以避免与其他电路冲突。

ADC0809A/D转换实验接插方法(如实验电路结构NO.5图所示):

1.左下角拨码开关的“A/D使能”和“转换结束”拨为使能:

向下拨,即将ENABLE(9)与PIO35相接;

若向上拨则禁止,即则使ENABLE(9)0,表示禁止0809工作,使它的所有输出端为高阻态。

2.左下角拨码开关的“转换结束”使能,则使EOC(7)PIO36,由此可使FPGA对ADC0809的转换状态进行测控。

(20)VR1/“AIN1”:

VR1电位器,通过它可以产生0V~+5V幅度可调的电压。

其输入口是0809的IN1(与外接口AIN1相连,但当AIN1插入外输入插头时,VR1将与IN1自动断开)。

若利用VR1产生被测电压,则需使0809的第25脚置高电平,即选择IN1通道,参考“实验电路结构NO.5”。

(21)AIN0的特殊用法:

系统板上设置了一个比较器电路,主要以LM311组成。

若与D/A电路相结合,可以将目标器件设计成逐次比较型A/D变换器的控制器件参考“实验电路结构NO.5”。

(22)系统复位键:

此键是系统板上负责监控的微处理器的复位控制键,同时也与接口单片机和LCD控制单片机的复位端相连。

因此兼作单片机的复位键。

(23)下载控制开关:

(仅GW48—GK/PK型含此开关)在系统板的左侧的开关。

当需要对实验板上的目标芯片下载时必须将开关向上打(即“DLOAD”);

而当向下打(LOCK)时,将关闭下载口,这时可以将下载并行线拔下而作它用(这时已经下载进FPGA的文件不会由于下载口线的电平变动而丢失);

例如拔下的25芯下载线可以与GWAK30+/或GWAK100+/GW48-SOC+等适配板上的并行接口相接,以完成类似逻辑分析仪方面的并行通信实验。

(24)跳线座SPS:

短接“T_F”可以使用“在系统频率计”。

频率输入端在主板右侧标有“频率计”处。

模式选择为“A”。

短接“PIO48”时,信号PIO48可用,如实验电路结构图NO.1中的PIO48。

平时应该短路“PIO48”。

(25)目标芯片万能适配座CON1/2:

在目标板的下方有两条80个插针插座,其连接信号如图1-1所示,此图为用户对此实验开发系统作二次开发提供了条件。

此二座的位置设置方式和各端口的信号定义方式与综合电子设计竞赛开发板GWDVP-B完全兼容!

对于GW48-PK2系统,此适配座在原来的基础上增加了20个插针,功能大为增强。

增加的20插针信号与目标芯片的连接方式可参考“实验电路结构NO.5”和附图2-12。

(26)左拨码开关:

拨码开关的详细用法可参考实验电路结构NO.5图和附图2-13。

(27)上拨码开关:

是用来控制数码管作扫描显示用的。

当要将8个数码管从原来的重配置可控状态下向扫描显示方式转换时,可以将此拨码开关全部向下拨,然后将左下侧的拨码开关的“DS8使能”向上拨。

这时由这8个数码管构成的扫描显示电路可参考NO.5图和附图2-12。

(28)+/-12V电源开关:

位置在实验板左上角。

有一指示灯。

此电源主要提供的对象有:

1)实验板上与082、311及D/A器件DAC0832相关的实验;

2)模拟信号发生源;

3)GW48-DSP/DSP+适配板上的D/A及参考电源;

此电源输出口可参见图1-1。

平时,此电源必须关闭!

(29)智能逻辑笔:

(仅GK/PK2型含此)逻辑信号由实验板左侧的“LOGICPENINPUT”输入。

测试结果:

“高电平”:

判定为大于3V的电压;

亮第1个发光管。

“低电平”:

判定为小于1V的电压;

亮第2个发光管。

“高阻态”:

判定为输入阻抗大于100K欧姆的输出信号;

亮第3个发光管。

注意,此功能具有智能化。

“中电平”:

判定为小于3V,大于1V的电压;

亮第4个发光管。

“脉冲信号”:

判定为存在脉冲信号时;

亮所有的发光管。

注意,使用逻辑笔时,clock0/clock9上不要接50MHz,以免干扰。

(30)模拟信号发生源:

(仅GK/PK2型含此)此信号源主要用于DSP实验及A/D高速采样用信号源。

使用方法如下:

1)打开+/-12V电源;

2)用一插线将右下角的某一频率信号(如65536Hz)连向单片机上方插座“SS2”的

INPUT端;

3)将旁边的3针座的“750K”端短路;

4)这时在“SS3”的OUTPUT端及信号挂钩“WAVEOUT”端同时输出模拟信号,可用示波器显示输出模拟信号(这时输出的频率也是65536Hz);

5)右侧拨码开关的“6”、“7”、“8”分别控制3个滤波电容。

如右拨“8”为“ON”,则滤波电容为33p。

这可根据不同的频率和波形要求选择不同的滤波电容;

6)右侧的电位器是调谐输出幅度的;

7)注意,插座“SS1”是用于选择频率区域的:

若短路“750K”,则能选择小于等于750K频率的信号,这时控制拨码开关的“6”、“7”、“8”有效;

若短路“1.5M”,则能选择大于等于1.5M频率的信号,这时控制拨码开关的“1”、“2”、“3”有效,但应注意,此时用的是串联滤波电阻,如选择“1”为ON,串行滤波电阻为100欧。

(31)JP13选择VGA输出:

(仅GW48-GK/PK2含此)。

将“ENBL”短路,使VGA输出显示使能;

将“HIBT”短路,使VGA输出显示禁止,这时可以将来自外部的VGA显示信号通过JP12座由VGA口输出。

此功能留给SOPC开发。

(32)FPGA与LCD连接方式:

(仅PK2型含此)。

使附图2-13的实验电路结构图COM可知,默认情况下,FPGA是通过89C51单片机控制LCD液晶显示的,但若FPGA中有Nios嵌入式系统,则能使FPGA直接控制LCD显示。

方法是拔去此单片机(在右下侧),用连线将座JP22/JP21(LCD显示器引脚信号)各信号分别与座JP19/JP20(FPGA引脚信号)相连接即可。

针对目标器件的型号,查表锁定引脚后,参考第五章有关LCD控制时序的内容即可。

(33)JP23使用说明:

(仅GW48-GK/PK2型含此)。

单排座JP23有3个信号端,分别来自此单片机的I/O口。

(34)“12MHZ”跳线口:

位于系统上方,当需要对EPCS器件编程时接“AS”端,正常工作接“12MHZ”端。

(35)使用举例:

若模式键选中了“实验电路结构图NO.1”,这时的GW48系统板所具有的接口方式变为:

FPGA/CP

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1