西北工业大学数电实验报告材料二Quartus和Multisim.docx

上传人:b****1 文档编号:1679763 上传时间:2022-10-23 格式:DOCX 页数:9 大小:331.57KB
下载 相关 举报
西北工业大学数电实验报告材料二Quartus和Multisim.docx_第1页
第1页 / 共9页
西北工业大学数电实验报告材料二Quartus和Multisim.docx_第2页
第2页 / 共9页
西北工业大学数电实验报告材料二Quartus和Multisim.docx_第3页
第3页 / 共9页
西北工业大学数电实验报告材料二Quartus和Multisim.docx_第4页
第4页 / 共9页
西北工业大学数电实验报告材料二Quartus和Multisim.docx_第5页
第5页 / 共9页
点击查看更多>>
下载资源
资源描述

西北工业大学数电实验报告材料二Quartus和Multisim.docx

《西北工业大学数电实验报告材料二Quartus和Multisim.docx》由会员分享,可在线阅读,更多相关《西北工业大学数电实验报告材料二Quartus和Multisim.docx(9页珍藏版)》请在冰豆网上搜索。

西北工业大学数电实验报告材料二Quartus和Multisim.docx

西北工业大学数电实验报告材料二Quartus和Multisim

 

 

数字电子技术根底

实验报告

 

题目:

实验二组合电路实验设计

 

小组成员:

小组成员:

 

实验二组合电路实验设计

一、实验目的

过实验的方法学习数据选择器的电路结构和特点

选择器的逻辑功能与其根本应用

过实验的方法学习74LS138的电路结构和特点

4.掌握74LS138的逻辑功能与其根本应用

二、实验要求

要求一:

参照参考内容,调用MAXPLUSII库中的组合逻辑器件74153双四数据选择器和7400与非门,用原理图输入方法实现一位全加器。

〔MULTISIM仿真和FPGA实现〕

要求二:

参照参考内容,调用MAXPLUSII库中的组合逻辑器件74138三线八线译码器和7420与非门,用原理图输入方法实现一位全减器。

〔MULTISIM仿真和FPGA实现〕

要求三:

参照参考内容,调用MAXPLUSII库中的组合逻辑器件74138三线八线译码器和门电路,用原理图输入方法实现一个两位二进制数值比拟器。

〔MULTISIM仿真和FPGA实现〕

三、实验设备

〔1〕电脑一台;

〔2〕数字电路实验箱;

〔3〕数据线一根。

四、实验原理

Multisim的模拟电路编程原理

QuartusII的模拟电路编译、波形仿真与目标器件写入的根本应用

数字电路逻辑表达式转换的根本知识

数据选择器和译码器的电路结构与其特点

实验开发板的根本使用知识

五、实验内容

1、调用MAXPLUSII库中的组合逻辑器件74153双四数据选择器和7400与非门,用原理图输入方法实现一位全加器。

〔MULTISIM仿真和FPGA实现〕

〔1〕构建真值表、卡诺图与降维卡诺图真值表:

真值表:

S1卡诺图:

C0卡诺图:

降维卡诺图:

〔2〕逻辑表达式变换过程

〔3〕原理图〔Multisim和QuartusII中绘制的原理图〕:

QuartusII中原理图

Multisim中原理图

〔4〕波形仿真:

〔5〕记录电路输出结果

A

B

C

S

C0

0

0

0

0

0

0

0

1

1

0

0

1

0

1

0

0

1

1

0

1

1

0

0

1

0

1

0

1

0

1

1

1

0

0

1

1

1

1

1

1

2、调用MAXPLUSII库中的组合逻辑器件74138三线八线译码器和7420与非门,用原理图输入方法实现一位全减器。

〔MULTISIM仿真和FPGA实现〕

〔1〕构建真值表:

真值表:

〔2〕逻辑表达式变换过程

〔3〕原理图〔Multisim和QuartusII中绘制的原理图〕:

QuartusII中原理图

Multisim中原理图

〔4〕波形仿真:

〔5〕记录电路输出结果

A

B

C

S

C0

0

0

0

0

0

0

0

1

1

1

0

1

0

1

1

0

1

1

0

1

1

0

0

1

0

1

0

1

0

0

1

1

0

0

0

1

1

1

1

1

 

3、调用MAXPLUSII库中的组合逻辑器件74138三线八线译码器和门电路,用原理图输入方法实现一个两位二进制数值比拟器。

〔MULTISIM仿真和FPGA实现〕

〔1〕构建真值表:

真值表:

〔2〕逻辑表达式变换过程

〔3〕原理图〔Multisim和QuartusII中绘制的原理图〕:

QuartusII中原理图

Multisim中原理图

〔4〕波形仿真:

〔5〕记录电路输出结果

A1

A0

B1

B0

F1

F2

0

0

0

0

0

0

0

0

0

1

0

1

0

0

1

0

0

1

0

0

1

1

0

1

0

1

0

0

1

0

0

1

0

1

0

0

0

1

1

0

0

1

0

1

1

1

0

1

1

0

0

0

1

0

1

0

0

1

1

0

1

0

1

0

0

0

1

0

1

1

0

1

1

1

0

0

1

0

1

1

0

1

1

0

1

1

1

0

1

0

1

1

1

1

0

0

六、实验过程中的问题

1.软件使用过程中存在着名称使用不当的情况〔如:

中文、空格〕

2.写入器件过程中存在引脚号混淆,使用发生错误的情况

七、心得体会

1.在实验过程中学习了74LS153数据选择器的使用,而且通过双击进入了数据选择器内部了解其中的内部构造。

2.在实验过程中学习了74LS138译码器的使用,了解了如何将译码器设计成为脉冲分配器,也实现了全加器。

3.掌握了开发板的各种引脚号以与各种器件的使用,玩那个实在是玩得太开心了。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 公共行政管理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1