通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx

上传人:b****5 文档编号:16475513 上传时间:2022-11-24 格式:DOCX 页数:25 大小:656.17KB
下载 相关 举报
通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx_第1页
第1页 / 共25页
通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx_第2页
第2页 / 共25页
通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx_第3页
第3页 / 共25页
通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx_第4页
第4页 / 共25页
通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx

《通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx(25页珍藏版)》请在冰豆网上搜索。

通信原理课程设计2PSK2DPSK数字信号频带传输系统的设计与建模讲诉Word格式文档下载.docx

时间安排:

第19周

参考文献:

段吉海.数字通信系统建模与设计.北京:

电子工业出版社,2004

江国强.EDA技术与应用.北京:

电子工业出版社,2010

JohnG.Proakis.DigitalCommunications.北京:

电子工业出版社,2011

指导教师签名:

年月日

系主任(或责任教师)签名:

摘要

移动通信迅速发展的得以实现,离不开数字处理技术。

其中,数字调制与解调技术在通信领域中发挥着重大作用。

为了使数字信号在带通信道中传输,必须使用数字基带信号对载波进行调制,以使信号与信道的特性匹配,再在接收端通过解调恢复出原始数字信号,实现数字信息的传递。

相移键控(2PSK)就是数字信号调制的一种有用并且广泛使用的方式。

为了很好地完成本次数字通信系统课程设计,我对2PSK、2DPSK的调制与解调原理进行了深入的了解和研究;

利用仿真软件QuartusII,对2PSK、2DPSK进行调制与解调的设计和仿真,并对仿真结果进行了分析。

关键词:

2PSK;

2DPSK;

QuartusII;

数字调制;

仿真

目录

1.实验使用软件概述1

1.1QuartusII简介1

1.2QuartusII功能2

2.基本原理及建模3

2.1二进制相移键控(2PSK与2DPSK)的概念3

2.22PSK的调制与解调原理3

2.2.12PSK的调制3

2.2.22PSK调制电路的VHDL建模4

2.2.32PSK的解调9

2.2.42PSK解调电路的VHDL建模5

2.32DPSK的调制与解调原理6

2.3.12DPSK的调制7

2.3.2DPSK调制电路方框图模型8

2.3.32DPSK的解调9

2.3.42DPSK解调电路的建模11

3.仿真结果记录与分析12

3.12PSK的调制与解调12

3.1.12PSK调制器与解调器的程序代码及波形12

3.1.22PSK调制与解调的仿真电路图13

3.1.32PSK调制与解调仿真波形与结果分析14

3.22DPSK的调制与解调15

3.2.12DPSK调制器与解调器的程序代码及波形15

3.2.22DPSK调制与解调的仿真电路图16

3.2.32DPSK调制与解调仿真波形及结果分析17

4.心得体会18

5.参考文献19

附录一:

2PSK调制器的程序代码20

附录二:

2PSK解调器的程序代码22

附录三:

2DPSK调制器绝对码转换为相对码的程序代码23

附录四:

2DPSK解调器相对码转换为绝对码的程序代码24

1.实验使用软件概述

1.1QuartusII简介

图1.1QuartusII图标

QuartusII是Altera公司的综合性PLD/数字通信系统开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;

支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

1.2QuartusII功能

QuartusII提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:

●可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;

●芯片(电路)平面布局连线编辑;

●LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;

●功能强大的逻辑综合工具;

●完备的电路功能仿真与时序逻辑仿真工具;

●定时/时序分析与关键路径延时分析;

●可使用SignalTapII逻辑分析工具进行嵌入式的逻辑分析;

●支持软件源文件的添加和创建,并将它们链接起来生成编程文件;

●使用组合编译方式可一次完成整体设计流程;

●自动定位编译错误;

●高效的期间编程与验证工具;

●可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;

●能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

2.基本原理及建模

2.1二进制相移键控(2PSK与2DPSK)的概念

数字信号对载波相位调制称为相移键控(即相位键控)PSK(Phase-ShiftKeying)。

数字相位调制(相位键控)是用数字基带信号控制载波的相位,使载波的相位发生跳变的一种调制方式。

数字调相(相位键控)常分为:

(1)绝对调相,记为PSK;

(2)相对调相,记为DPSK。

二进制相位键控是利用二进制数字基带信号去控制连续载波的相位,其相位携带数字基带信号的信息。

二进制相移键控可分为二进制绝对相移键控(2PSK)和二进制相对相移键控(2DPSK)。

2.22PSK的调制与解调原理

2PSK即二进制绝对调相,是利用载波的不同相位去直接传送数字信息的一种方式。

对于2PSK,若用相位π代表“0”码,相位0代表“1”码,即规定数字基带信号为“0”码时,已调信号相对于载波的相位为π;

数字基带信号为“1”码时,已调信号相对于载波相位为同相。

按此规定,2PSK信号的数学表示式为

(2.1)

式中

为载波的初相位。

受控载波在0、π两个相位上变化。

关于PSK波形的特点,必须强调的是:

PSK波形相位是相对于载波相位而言的。

因此画PSK波形时,必须先把载波画好,然后根据相位的规定,才能画出它的波形。

2.2.12PSK的调制

PSK调制有直接调相法和相位选择法两种方法。

1.直接调相法

直接调相法的电路采用一个环形调制器。

在2PSK调制中,当基带信号为正时,输出载波与输入同相,当基带信号为负时,输出载波与输入载波反相,从而实现了2PSK调制。

2.相位选择法

相位选择法电路如图2.1所示,设振荡器产生的载波信号为

,它加到与门1,同时该振荡信号经倒相器变为

,加到与门2,基带信号和它的倒相信号分别作为与门1及与门2的选通信号。

基带信号为1码时,与门1选通,输出为

基带信号为“0”码时,与门2选通,输出为

,即可得到2PSK信号。

图2.1相位选择法电路

2.2.22PSK调制电路的VHDL建模

2PSK调制方框图和2PSK调制电路符号如图2.2和图2.3所示。

2PSK调制器模型主要由计数器和二选一开关等组成。

计数器对外部时钟信号进行分频与计数,并输出两路相位相反的数字载波信号;

二选一开关的功能是:

在基带信号的控制下,对两路载波信号进行选通,输出的信号即为PSK信号。

图中没有包含模拟电路部分,输出信号为数字信号。

图2.22PSK调制框图

图2.32PSK调制仿真电路符号

2.2.32PSK的解调

图2.42PSK的解调原理框图

2.2.42PSK解调电路的VHDL建模

2PSK解调器的建模方框图和解调电路的VHDL建模符号如图2.4和图2.5所示。

图中的计数器q输出与发端同步的0相数字载波。

判决器的工作原理是:

把计数器输出的0相载波与数字2PSK信号中的载波进行逻辑“与”运算,当两比较信号在判决时刻都为“1”时,输出为“1”,否则输出为“0”,以实现解调的目的。

图中没有包含模拟电路部分,调制信号为数字信号。

图2.5PSK解调框图

图2.62PSK解调电路的VHDL建模符号

2.32DPSK的调制与解调原理

二进制相对调相(相对移相),即2DPSK,也称为差分调相,这种方式用载波相位的相对变化来传送数字信号,即利用前后码之间载波相位的变化表示数字基带信号的。

所谓相位变化又有向量差和相位差两种定义方法。

向量差是指前一码元的终相位与本码元初相位比较,是否发生相位变化。

而相位差是指前后两码元的初相位是否发生了变化。

对同一个基带信号,按向量差和相位差画出的DPSK波形是不同的。

例如在相位差法中,在绝对码出现“1”码时,DPSK的载波初相位即前后两码元的初相位相对改变π。

出现“0”码时,DPSK的载波相位即前后两码元的初相位相对不变。

在向量差法中,在绝对码出现“1”码时,2DPSK的载波初相位相对前一码元的终相位改变π。

出现“0”码时,2DPSK的载波初相位相对前一码元的终相位连续不变。

在画2DPSK波形时,第一个码元波形的相位可任意假设。

2.3.12DPSK的调制

1.相对移相信号(2DPSK)的产生

相对移相信号(2DPSK)是通过码变换加PSK调制产生,其产生原理如下图所示。

这种方法是把原基带信号经过绝对码—相对码变换后,用相对码进行PSK调制,其输出便是2DPSK信号,即相对调相可以用绝对码一相对码变换加上绝对调相来实现。

图2.7DPSK调制原理图

2.绝对码一相对码变换关系

若假设绝对调相按“1”码同相,“0”码π相的规律调制;

而相对调相按“1”码相位变化(移相π),“0”码相位不变规律调制。

按此规定,绝对码记为

,相对码记为

,绝对码一相对码变换电路如下图所示

图2.8绝对码一相对码变换电路图

绝对码一相对码之间的关系为:

(2.2)

根据上述关系,绝对码与相对码(差分码)可以相互转换。

图2.9(a)、(b)分别为绝对码变为相对码的电路及波形

图2.10(a)、(b)分别为相对码变为绝对码的电路及波形

2DPSK信号的产生,先需将绝对码变换为相对码,然后用相对码对载波进行绝对调相,即可得到相对码调相(2DPSK)信号。

图2.11产生2DPSK信号电路框图

2.3.2DPSK调制电路方框图模型

DPSK调制方框图和DPSK调制电路的VHDL建模符号如图2.9和图2.10所示,图中的计数器与图2.5中的计数器相同。

异或门与寄存器共同完成绝/相对码变换功能;

CPSK调制器与图2.2的CPSK调制器相同。

图2.122DPSK调制电路方框图

图2.132DPSK调制电路的VHDL建模符号

2.3.32DPSK的解调

2DPSK信号的解调方法有两种:

(1)极性比较法(又称同步解调或相干解调)

(2)相位比较法(是一种非相干解调)。

1、极性比较法

在极性比较法电路中,输入的2DPSK信号经带通后加到乘法器,乘法器将输入信号与载波极性比较。

经极性比较法电路解调,还原的是相对码。

要得到原基带信号,必须经相对码一绝对码变换器,由相对码还原成绝对码,得到原绝对码基带信号。

2DPSK解调器由三部分组成,乘法器和载波提取电路实际上就是相干检测器。

后面的相对码(差分码)—绝对码的变换电路,即相对码(差分码)译码器,其余部分完成低通判决任务。

2、相位比较法

图2.142DPSK相位比较法解调器原理框图

2DPSK相位比较法解调器原理框图及其相应的波形图如上图示。

其基本原理是将接收到的前后码元所对应的调相波进行相位比较,它是以前一码元的载波相位作为后一码元的参考相位,所以称为相位比较法或称为差分检测法。

该电路与极性比较法不同之处在于乘法器中与信号相乘的不是载波,而是前一码元的信号,该信号相位随机且有噪声,它的性能低于极性比较法的性能。

输入的2DPSK信号一路直接加到乘法器,另一路经延迟线延迟一个码元的时间TB后,加到乘法器作为相干载波。

若不考虑噪声影响,设前一码元载波的相位为

,后一码元载波的相位为

,则乘法器的输出为:

(2.3)

经低通滤波器滤除高频项,输出为

(2.4)

式中

,是前后码元对应的载波相位差。

由调相关系知

则取样判决器的判决规则为

可直接解调出原绝对码基带信号。

这里应强调的是,相位比较法电路是将本码元信号与前一码元信号相位比较,它适合于按相位差定义的2DPSK信号的解调,对码元宽度为非整数倍载频周期的按向量差定义的2DPSK信号,该电路不适用。

2.3.42DPSK解调电路的建模

2DPSK解调采用PSK解调加相对码到绝对码即可实现。

相对码到绝对码的转换方框图和电路建模符号如下,相、绝变换过程都是以计数器输出信号为时钟的控制下完成的。

图2.15相对码-绝对码转换方框图

图2.162DPSK解调电路建模符号

3.仿真结果记录与分析

3.12PSK的调制与解调

3.1.12PSK调制器与解调器的程序代码及波形

图3.12PSK调制器的程序代码

图3.22PSK调制的波形

图3.32PSK解调器的程序代码

图3.42PSK解调的波形

3.1.22PSK调制与解调的仿真电路图

图3.52PSK调制与解调的仿真电路图

3.1.32PSK调制与解调仿真波形与结果分析

图3.62PSK调制与解调的仿真波形结果

(1)调制分析:

当start信号为高电平时,进行2PSK调制;

载波信号f1、f2是通过输入时钟脉冲clk分频得到的,且滞后输入时钟一个时钟周期;

调制输出信号y滞后载波一个时钟周期,滞后输入时钟两个时钟周期。

计数器q对外部时钟信号clk进行分频与计数,并输出两路相位相反的数字载波信号f1、f2;

2选1开关在基带信号x的控制下,对两路载波信号进行选择,当基带信号x=1时,输出信号y=f1,当基带信号x=1时,输出信号y=f2,输出信号y即为2PSK信号。

(2)解调分析:

当start信号为高电平时,进行2PSK解调;

当q=0时,根据x的电平来进行判决;

输出信号y滞后输入信号x一个时钟周期。

计数器q输出与发射端同步的0相数字载波;

将计数器输出的0相载波与数字2PSK信号中的载波进行与运算,当两比较信号在判决时刻都为1时,输出为1,否则输出为0,从而实现解调。

在计数器q=0时,根据调制信号此时的电平高低,来进行判决。

在本次课程设计中,2PSK信号的调制与解调综合波形如图3.6所示,存在相位模糊现象,这是由于2PSK信号实际上是以一个固定初相的末调载波为参考的,因此,解调时必须有与此同频同相的同步载波。

如果同步载波的相位发生变化,如0相位变为

相位或

相位变为0相位,则恢复的数字信息就会发生“0”变“1”或“1”变“0”,从而造成错误的恢复。

这种因为本地参考载波倒相,而在接收端发生错误恢复的现象称为“倒

”现象或“反向工作”现象。

绝对移相的主要缺点是容易产生相位模糊,造成反向工作。

为了解决此问题,引入差分相移键控信号2DPSK。

3.22DPSK的调制与解调

3.2.12DPSK调制器与解调器的程序代码及波形

图3.72DPSK调制器的程序代码

图3.82DPSK调制的波形

图3.92DPSK解调器的程序代码

图3.102DPSK解调器的波形

3.2.22DPSK调制与解调的仿真电路图

图3.112DPSK调制与解调的仿真电路图

3.2.32DPSK调制与解调仿真波形及结果分析

图3.122DPSK调制与解调仿真波形图

当start信号为高电平时,进行2DPSK调制;

当q=0时,输出信号y是输入信号x与xx的异或,并滞后于输入信号x一个时钟周期。

把原基带信号经过绝对码-相对码变换后,绝对码-相对码变换采用基带信号与延时后的输出信号异或,再用相对码进行CPSK调制,即可得到2DPSK信号。

当start信号为高电平时,进行2DPSK解调;

当q=3时,输出信号y是输入信号x与xx的异或,并滞后于输入信号x一个基带码长即4个输入时钟周期。

经过相对码转换为绝对码后,输出信号y即为2DPSK解调出来的基带信号。

在本次课程设计中,2DPSK信号的调制与解调综合波形如图3.12所示,与2PSK的波形不同,2DPSK波形的同一相位并不对应相同的数字信息符号,而前后码元的相对相位才唯一确定信息符号。

这说明解调2DPSK信号时,并不依赖于某一固定的载波相位参考值,只要前后码元的相对相位关系不破坏,则鉴别这个相位关系就可正确恢复数字信息。

这就避免了2PSK方式中的“倒

”现象发生。

由于相对移相调制无“反向工作”问题,因此得到广泛的应用。

4.心得体会

经历了一个星期的查阅资料和理论分析,终于完成了通信原理课程设计的编程和报告。

本次通信原理课程设计主要任务是完成2PSK、2DPSK数字信号频带传输系统的设计与建模,对我来说本次设计还是很有挑战性的,因为自己对QuartusII这一个软件的使用方法了解很少,但正是这种有挑战性的课设要求才能提高自己的能力,入手这个题目后我查阅了相关的资料,先进行相关软件的基础使用方法,多试多练后开始着手本次课程设计的题目要求。

对于本次课程设计的要求,我在实验前先熟悉了一下QuartusII软件的一些操作和它的界面,对QuartusII如何使用有了初步的了解。

于是,我便在上面输入了一些资料上的程序,逐渐体会到QuartusII的功能。

我先从网上和图书馆获得不少有关2PSK、2DPSK数字信号频带传输系统的资料和书籍,加上自己之前对通信系统原理的学习,设计思路渐渐明朗,经过自己的不断尝试和探索,弄懂了2PSK和2DPSK调制器与解调器设计的工作原理;

通过查阅相关资料和教程,我也明白了2PSK和2DPSK调制器与解调器设计相关的一些函数的使用方法,并用它们来设计2PSK和2DPSK调制器与解调器设计,最终完成了题目。

通过这次课程设计,我学到了很多有用的知识,并加强了自己掌握和理解书本知识的能力,培养了自己的实际动手能力与综合设计能力,提高了自己的技术素质。

这对以后的学习和工作都是非常有益的。

基本达到了数字通信系统课程设计的任务,明确了数字通信系统的基本知识与应用;

在对二进制相位键控(2PSK和2DPSK)调制器与解调器的理论分析的过程中,我对通信原理的相关知识进行了复习,更深一个层次的掌握了通信原理的相关概念和使用技巧;

同时掌握了数字通信系统仿真设计的基本方法,学会了运用仿真软件,绘制相应的仿真波形图,对计算出的参数进行验证,反复推导,使参数更加符合要求。

总之,通过这次课程设计我有了很多收获。

在摸索该如何使用QuartusII去实现题目要求的过程中培养了我的设计思维。

在编程的过程中,同学们共同探讨,并从中体会到了QuartusII的强大功能,以后我还得深入学习QuartusII,并在以后的专业学习中去很好地应用它;

更让我体会到成功的喜悦和快乐。

5.参考文献

[1]王虹等.通信系统原理.北京:

国防工业出版社,2013,12

[2]田维新等.基于数字通信系统的PSK解调器的设计与实现[J].微计算机信息,2010,6-5:

43-47

[3] 

樊昌信.通信原理[第七版]. 

北京:

国防工业出版社,2012

[4] 

潘松.EDA技术实用教程[第五版].北京:

科学出版社,2014

[5] 

江国强.EDA技术与应用. 

电子工业出版社,2010

[6] 

John 

G. 

Proakis.Digital 

Communications. 

电子工业出版社,2011

2PSK调制器的程序代码

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityPSK1is

port(clk:

instd_logic;

--系统时钟

start:

--开始调制信号

x:

--基带信号

y:

outstd_logic;

f1:

f2:

outstd_logic

);

--已调制输出信号

endPSK1;

architecturebehavofPSK1is

signalq:

std_logic_vector(1downto0);

--2位计数器

signalf11,f22:

std_logic;

--载波信号

begin

process(clk)--此进程主要是产生两重载波信号f1,f2

ifclk'

eventandclk='

1'

then

ifstart='

0'

thenq<

="

00"

;

elsifq<

01"

thenf11<

='

f22<

q<

=q+1;

elsifq="

11"

elsef11<

endif;

endprocess;

process(clk,x)--此进程完成对基带信号x的调制

ifq(0)='

then

ifx='

theny<

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 小学教育 > 小升初

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1