systemVerilog快速入门PPTPPT文件格式下载.ppt

上传人:b****3 文档编号:15665701 上传时间:2022-11-11 格式:PPT 页数:124 大小:1,001.50KB
下载 相关 举报
systemVerilog快速入门PPTPPT文件格式下载.ppt_第1页
第1页 / 共124页
systemVerilog快速入门PPTPPT文件格式下载.ppt_第2页
第2页 / 共124页
systemVerilog快速入门PPTPPT文件格式下载.ppt_第3页
第3页 / 共124页
systemVerilog快速入门PPTPPT文件格式下载.ppt_第4页
第4页 / 共124页
systemVerilog快速入门PPTPPT文件格式下载.ppt_第5页
第5页 / 共124页
点击查看更多>>
下载资源
资源描述

systemVerilog快速入门PPTPPT文件格式下载.ppt

《systemVerilog快速入门PPTPPT文件格式下载.ppt》由会员分享,可在线阅读,更多相关《systemVerilog快速入门PPTPPT文件格式下载.ppt(124页珍藏版)》请在冰豆网上搜索。

systemVerilog快速入门PPTPPT文件格式下载.ppt

Gateway被被CadenceDesignSystems公司收购公司收购n1990:

Cadence向业界公开向业界公开VerilogHDL标准标准n1993:

OVI提升提升theVerilog标准,但没有被普遍接受标准,但没有被普遍接受n1995:

IEEE推出推出VerilogHDL(IEEE1364-1995)标准标准n2001:

IEEE推出推出VerilogIEEEStd1364-2001标准标准n2002:

IEEE推出推出VerilogIEEEStd1364.1-2002标准标准n2002:

Accellera对对SystemVerilog3.0进行标准化进行标准化Accellera是是OVI&

VHDLInternational(VI)合并后的合并后的国际标准化组织国际标准化组织n2003:

Accellera标准化后的标准化后的SystemVerilog3.1n2006:

IEEE推出带推出带SystemVerilog扩展的扩展的Verilog新标准新标准为什么称为什么称SystemVerilog3.x?

nSystemVerilog是是对对Verilog革命性的扩展革命性的扩展nVerilog1.0-IEEE1364-1995“Verilog-1995”标准标准第一代第一代IEEEVerilog标准标准nVerilog2.0-IEEE1364-2001“Verilog-2001”标准标准第二代第二代IEEEVerilog标准标准显著提升了显著提升了Verilog-1995标准的性能标准的性能nSystemVerilog3.x-国际标准化组织对国际标准化组织对Verilog-2001的扩展的扩展第三代第三代Verilog标准标准DAC-2002-SystemVerilog3.0DAC-2003-SystemVerilog3.1SystemVerilog是是Verilog-2001扩展后的超集扩展后的超集assertionsmailboxestestprogramblockssemaphoresclockingdomainsconstrainedrandomvaluesprocesscontroldirectCfunctioncalls-SystemVerilog-fromC/C+-classesdynamicarraysinheritanceassociativearraysstringsreferencesSystemVerilog是是Verilog-2001扩展后的超集扩展后的超集interfacesdynamicprocessesnestedhierarchy2-statemodelingbyteunrestrictedportspackedarraysimplicitportconnectionsarrayassignmentsenhancedliteralsenhancedeventcontroltimevalues&

unitsunique/prioritycase/iflogic-specificprocessesrootnamespacealiasconst&

=|=%=-fromC/C+-intglobalsbreakshortintenumcontinuelonginttypedefreturnBytestructuresdo-whileShortrealunions+-+=-=*=/=voidcasting=if-elserepeat-Verilog-1995-SystemVerilog提高设计效率提高设计效率SystemVerilogRTLRTLNetlist设计效率设计效率testbenchCo-simOverheadHDLSimultionSystemVerilogtestbench进行全面验证的环境进行全面验证的环境断言断言验证验证硬件辅助的验证硬件辅助的验证覆盖覆盖测试平台测试平台仿真仿真形式化特性形式化特性提高了验证的水平提高了验证的水平提高了设计效率提高了设计效率nn描述同样的功能,其代码可缩短描述同样的功能,其代码可缩短描述同样的功能,其代码可缩短描述同样的功能,其代码可缩短22到到到到55倍倍倍倍-代码短发生意外错误的概率减小了,但仍然可以综合,代码短发生意外错误的概率减小了,但仍然可以综合,代码短发生意外错误的概率减小了,但仍然可以综合,代码短发生意外错误的概率减小了,但仍然可以综合,新的语句构造可以解决新的语句构造可以解决新的语句构造可以解决新的语句构造可以解决RTLRTLRTLRTL仿真和综合后仿真的不匹配;

仿真和综合后仿真的不匹配;

-小组成员间更容易理解和交流;

小组成员间更容易理解和交流;

nn缩短了学习周期。

缩短了学习周期。

SystemVerilogRTLRTLNetlist设计效率设计效率结构化的和用户定义的数据类型与封装好的接口通信.*蕴涵的端口实例引用极大地提高了仿真速度极大地提高了仿真速度仅一种语言就能解决设计和测试问题仅一种语言就能解决设计和测试问题仅一种语言就能解决设计和测试问题仅一种语言就能解决设计和测试问题设计和验证语言的统一提高了设计效率,学习周期设计和验证语言的统一提高了设计效率,学习周期设计和验证语言的统一提高了设计效率,学习周期设计和验证语言的统一提高了设计效率,学习周期很短,设计和验证人员都乐意采用:

很短,设计和验证人员都乐意采用:

-可自动生成高级的受约束可自动生成高级的受约束可自动生成高级的受约束可自动生成高级的受约束-随机测试信号语句,大随机测试信号语句,大随机测试信号语句,大随机测试信号语句,大大降低了设计和验证的复杂度;

大降低了设计和验证的复杂度;

-完整的统一的断言技术改善了设计小组和验证小完整的统一的断言技术改善了设计小组和验证小完整的统一的断言技术改善了设计小组和验证小完整的统一的断言技术改善了设计小组和验证小组之间的交流。

组之间的交流。

testbenchCo-simOverheadHDLSimultionSystemVerilogtestbench使得我们有可能使用更高速度的仿真工具,加速了设计的完成SyestemVerilogSyestemVerilog的全面验证和设计的全面验证和设计统一的断言扩展了验证方法的效率统一的断言扩展了验证方法的效率统一的断言扩展了验证方法的效率统一的断言扩展了验证方法的效率-基于断言的验证基于断言的验证基于断言的验证基于断言的验证-形式化特征的验证形式化特征的验证形式化特征的验证形式化特征的验证-线路板上的加速验证线路板上的加速验证线路板上的加速验证线路板上的加速验证进行全面验证的环境进行全面验证的环境断言断言验证验证硬件辅助的验证硬件辅助的验证覆盖覆盖测试平台测试平台仿真仿真形式化特性形式化特性提高了验证的水平提高了验证的水平仿真检查仿真检查硬件辅助验证硬件辅助验证SystemVerilog断言断言自动测试平台自动测试平台覆盖测试覆盖测试形式化验证形式化验证综合综合抓住设计意图与每个设计和验证工程师联络学习周期短仿真检查仿真检查硬件辅助验证硬件辅助验证SystemVerilog设计语言设计语言Verilog-2001的事件调度的事件调度当前的时隙当前的时隙#0阻塞赋值计算非阻塞表达式的RHS连续赋值$display命令更新非阻塞表达式的LHS阻塞赋值更新原语的输入和的输出,$monitor系统命令$strobe系统命令语句激活语句暂停活动非阻塞赋值监视来自上一个时隙Verilog-2001时隙被分成4等级区域新的名称将”延迟”事件区域去下一个时隙如何才能简化这个队列?

指导原则No.8:

不要用#0延迟编写代码的八项原则编写代码的八项原则一般情况下,编写代码时如能按照以下一般情况下,编写代码时如能按照以下一般情况下,编写代码时如能按照以下一般情况下,编写代码时如能按照以下8888条原则就可以避免条原则就可以避免条原则就可以避免条原则就可以避免90%-100%90%-100%90%-100%90%-100%由由由由VerilogVerilogVerilogVerilog代码引起的冒险竞争现象:

代码引起的冒险竞争现象:

1111)时序逻辑)时序逻辑)时序逻辑)时序逻辑-使用非阻塞赋值使用非阻塞赋值使用非阻塞赋值使用非阻塞赋值2222)锁存器)锁存器)锁存器)锁存器-使用非阻塞赋值使用非阻塞赋值使用非阻塞赋值使用非阻塞赋值3333)用)用)用)用alwaysalwaysalwaysalways块生成的组合逻辑块生成的组合逻辑块生成的组合逻辑块生成的组合逻辑-用阻塞赋值用阻塞赋值用阻塞赋值用阻塞赋值4444)在同一个)在同一个)在同一个)在同一个alwaysalwaysalwaysalways块中既有时序逻辑又有组合逻辑块中既有时序逻辑又有组合逻辑块中既有时序逻辑又有组合逻辑块中既有时序逻辑又有组合逻辑-用非阻塞赋值用非阻塞赋值用非阻塞赋值用非阻塞赋值5555)在同一个)在同一个)在同一个)在同一个alwaysalwaysalwaysalways块中不要既用阻塞赋值又用非阻塞赋值块中不要既用阻塞赋值又用非阻塞赋值块中不要既用阻塞赋值又用非阻塞赋值块中不要既用阻塞赋值又用非阻塞赋值6666)不要在一个以上的)不要在一个以上的)不要在一个以上的)不要在一个以上的alwaysalwaysalwaysalways块中对同一个变量赋值块中对同一个变量赋值块中对同一个变量赋值块中对同一个变量赋值7777)用)用)用)用$strobe$strobe$strobe$strobe显示用非阻塞赋值指定的变量值显示用非阻塞赋值指定的变量值显示用非阻塞赋值指定的变量值显示用非阻塞赋值指定的变量值8888)不要用)不要用)不要用)不要用0000过程性赋值过程性赋值过程性赋值过程性赋值以上以上以上以上8888条原则与条原则与条原则与条原则与Verilog-1995Verilog-1995Verilog-1995Verilog-1995完全一致,仍旧适用于完全一致,仍旧适用于完全一致,仍旧适用于完全一致,仍旧适用于SystemVerilogSystemVerilogSystemVerilogSystemVerilogSystemVerilog的基本数据类型的基本数据类型SystemVerilogSystemVerilog可以定义:

可以定义:

-44态数据类型态数据类型态数据类型态数据类型:

0,1,X,Z:

0,1,X,Z-22态数据类型态数据类型态数据类型态数据类型:

0,1:

0,1未初始化的变量=X未初始化的线网=Z与Verilog-2001相同未初始化的变量=0未初始化的线网*=0SystemVerilog新添加的r

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1