3层电梯设计Word格式.docx

上传人:b****2 文档编号:15054860 上传时间:2022-10-27 格式:DOCX 页数:11 大小:124.52KB
下载 相关 举报
3层电梯设计Word格式.docx_第1页
第1页 / 共11页
3层电梯设计Word格式.docx_第2页
第2页 / 共11页
3层电梯设计Word格式.docx_第3页
第3页 / 共11页
3层电梯设计Word格式.docx_第4页
第4页 / 共11页
3层电梯设计Word格式.docx_第5页
第5页 / 共11页
点击查看更多>>
下载资源
资源描述

3层电梯设计Word格式.docx

《3层电梯设计Word格式.docx》由会员分享,可在线阅读,更多相关《3层电梯设计Word格式.docx(11页珍藏版)》请在冰豆网上搜索。

3层电梯设计Word格式.docx

摘要:

电梯作为现代化的产物,早在上个世纪就进入到我们的生活当中,对于电梯的控制,传统的方法是使用继电器-接触器控制系统进行控制,随着EDA技术的发展,FPGA已经广泛的应用到电子设计控制的各个方面中,本设计就是利用一片FPGA来实现对电梯的设计。

本设计是基于VHDL开发上的三层电梯控制器。

以QuartusⅡ7.0为开发环境,最终将在EDA实验箱上实现三层电梯控制的基本功能显示。

其功能包括:

显示电梯楼层数、显示有请求发生的楼层、响应楼层请求、开门延时,电梯开关门。

关键词:

电梯控制、FPGA、VHDL、EDA

Abstract:

Thelife,asthemodernizedresult,areenteredinourlifeinlastcentury.Fortheelevatorcontrol,thetraditionalapprochistouserelay-contactorsystemtocontorl.WithdevelopmentofEDAtechnology.FPGAhasbeenwidelyusedinallaspectsofelectronicdesigncontrol.ThedesignistouseanFPGAtorealizetheelevatorcontrol.

TheprojectisbasedontheVHDLlanguagedevelopmentofthreeelevatorcontroller.ToQuartusⅡ7.2developmentenvironment,theultimatetestcaseintheEDAtoachievethreebasicfunctionsofthelifecontrollerdemonstration.Itsfeaturesinclude:

showfloorwherethelifecurrent,showedthattherequesthappenedfloors,floortorespondtotherequset,closingdelaysettingelevatordooropendisplay.

Keyword:

lifecontrol、FPGA、VHDL、EDA

第一章绪论…………………………………………………………...1

第二章VHDL语言简介…………………………………………….2

第三章电梯控制器具体设计………………………………………...3

3.1电梯简要说明……………………………………………………3

3.2电梯控制器任务及要求…………………………………………3

3.3电梯控制器的设计………………………………………………3

3.4电梯外部端口设计………………………………………………6

第四章程序及仿真…………………………………………………...7

4.1波形仿真…………………………………………………………7

4.2源程序……………………………………………………………7

结论……………………………………………………………………10

参考文献………………………………………………………………11

第一章:

绪论

随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。

随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。

因此学好EDA技术对我们有很大的益处。

EDA功能强大,一台计算机、一套EDA软件和一片或几片大规模可编程芯片(CPLD/FPGA或ispPAC),就能完成电子系统的设计。

EDA技术涉及面广,内容丰富,但在教学和技术推广层面上,应用较为广泛的是基于可编程器件的EDA技术,它主要包括如下四大要素:

1大规模可编程器件,它是利用EDA技术进行电子系统设计的载体;

2硬件描述语言,它是利用EDA技术进行电子系统设计的主要手段;

3软件开发工具,它是利用EDA技术进行电子系统的智能化的自动化设计工具;

4实验开发系统,它是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。

在此次EDA设计中,首先我们要熟悉EDA工具的使用过程,然后在此基础上再自主设计三层电梯的程序,并进行调试和运行及时域仿真,加深我们对EDA工具的了解。

随着现代化高层建筑的迅猛发展,电梯作为垂直运输的工具,日益受到人们的青睐。

电梯是高层宾馆、商店、住宅、多层厂房和仓库等高层建筑不可缺少的垂直方向的交通工具。

随着社会的发展,建筑物规模越来越大,楼层越来越多,对电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。

三层电梯广泛应用于大型货车之中,其使用便捷,货运周期短,效率高,成本低。

对货运事业有相当的经济价值。

在客运上,三层电梯虽涉及楼层不高,但就特殊而言,可以为上下不方便的人们提供相当的帮助。

所以,三层电梯在某种意义上也有相当的价值。

在设计希望通过在简单的三层电梯控制器设计之中如何解决升、降请求信号因电梯所处状态而产生的冲突等问题发散出去。

针对日常中小型电梯所实现的功能,本设计可以实现以下功能:

1.指示电梯运行所在楼层

2.有请求发生楼层显示

3.电梯开关门设置

本电梯是基于VHDL语言开发的三层电梯控制器,以QuartusⅡ为开发环境,最终在EDA实验箱中运行显示。

第二章:

VHDL语言简介

VHDL是用于电子设计的高级语言,全称为Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage。

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

VHDL设计特点:

VHDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。

它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。

VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言所不能比拟的。

VHDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;

既支持模块化设计,又支持层次化设计。

由于VHDL已经成为IEEE标准所规范的硬件描述语言,目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础。

在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。

VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。

而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。

另外,VHDL支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。

VHDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。

第三章:

电梯控制器具体设计

3.1电梯简要说明

利用VHDL语言完成一个3层自动升降的电梯的控制电路,控制电路遵循方向优先原则控制电梯完成多层的载客服务,同时指示电梯运行情况和电梯内外请求信息。

3.2电梯控制器的任务和要求

(1)每层电梯路口设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。

(2)设有电梯所处位置指示装置级电梯运行模式(上升或下降)指示装置。

(3)电梯每秒升(降)一层楼。

(4)电梯到达停站请求的楼层后,电梯门打开,开门指示灯亮后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。

(5)能记忆电梯内外的所有请求信号,并按照电梯运行规则次序响应,每个请求信号保留执行后消除。

(6)电梯运行规则:

当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,有下面上逐个执行,直到最后一个上楼请求执行完毕,如更高层有下楼请求,则直接升到有下楼请求的最高层楼接客,然后便进入下降模式。

当电梯处于下降模式时,则与上升模式相反。

(7)电梯初始状态为一层关门。

3.3电梯控制器的设计

控制器的功能模块如图,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。

乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。

分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。

由于分控制器相对简单很多,所以主控制器是核心部分。

电梯处于各楼层是的分析

处于一楼时,不管是电梯内或电梯外,电梯都只可能接收到上升的请求信号。

此时,电梯就进入预上升状态,准备作上升运行,如果电梯没有接收到请求信号,电梯则在一楼待机。

处于二楼时,电梯则可能出现三种情况:

1.电梯并没有接受到电梯内或电梯外的任何请求信号时,电梯则停留在当前楼层。

2.电梯接收到上升请求信号,进入预上升状态。

3.电梯接收到下降请求信号,进入预下降状态。

处于最高层时,不管电梯内或电梯外电梯都只可能接收到下降的请求信号。

此时,电梯就进入预下降状态,准备作下降运行。

如果电梯没有接收到请求信号,电梯则停留在最高层。

如图所示:

电梯的运行规则确立后,需对整个控制程序的设计做一个流程规范。

对程序进行模块化构思。

根据VHDL语言的规则,程序必须由最基本的实体和结构体构成。

实体对控制器的端口进行定义,结构体对各端口的行为进行描述。

因此程序运行需经过以下流程:

VHDL库调用:

确立控制器的端口及相关的寄存器;

根据电梯运行规则,设计相关运行描述;

对电梯内信号进行处理。

总流程图如下

3.4电梯外部端口设计

(1)本设计的端口包括:

时钟信号(clk);

一楼电梯外人的上升请求信号(c_u1),二楼电梯外人的上升请求信号(c_u2);

二楼电梯外人的下降请求信号(c_d2),三楼电梯外人的下降请求信号(c_d3);

电梯内人请求到达一楼的信号(d1),电梯内人请求到大二楼的信号(d2),电梯内人请求到大三楼的信号(d3);

电梯控制信号(opendoor);

电梯所在楼层显示(led);

电梯外人上升请求信号显示(led_c_u),电梯外人下降请求信号显示(led_c_d)

电梯内请求信号显示(led_d)。

(2)由相关端口得到程序要求的寄存器包括:

电梯内人请求到达一楼信号寄存(d11),电梯内人请求到达二楼信号寄存(d22),电梯内人请求到达三楼信号寄存(d33);

一楼电梯外人的上升请求信号寄存(c_u11),二楼电梯外人的上升请求信号寄存(c_u22);

二楼电梯外人的下降请求信号寄存(c_d22),三楼电梯外人的下降请求信号寄存(c_d33)。

第四章:

程序及仿真

4.1波形仿真

假设2楼有人要去3楼,那么在2楼电梯外部有个向上的请求,当进入到电梯内部,同时电梯内部有去3

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 面试

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1