EDA正弦波信号发生器的设计Word格式.docx

上传人:b****3 文档编号:15047069 上传时间:2022-10-27 格式:DOCX 页数:16 大小:79.30KB
下载 相关 举报
EDA正弦波信号发生器的设计Word格式.docx_第1页
第1页 / 共16页
EDA正弦波信号发生器的设计Word格式.docx_第2页
第2页 / 共16页
EDA正弦波信号发生器的设计Word格式.docx_第3页
第3页 / 共16页
EDA正弦波信号发生器的设计Word格式.docx_第4页
第4页 / 共16页
EDA正弦波信号发生器的设计Word格式.docx_第5页
第5页 / 共16页
点击查看更多>>
下载资源
资源描述

EDA正弦波信号发生器的设计Word格式.docx

《EDA正弦波信号发生器的设计Word格式.docx》由会员分享,可在线阅读,更多相关《EDA正弦波信号发生器的设计Word格式.docx(16页珍藏版)》请在冰豆网上搜索。

EDA正弦波信号发生器的设计Word格式.docx

endl;

}

END"

return0;

}

把上述程序编译后,在DOS命令行下执行命令:

sin.exe>

sin.mif;

将生成的sin.mif文件。

sin.mif文件:

DEPTH=256;

ADDRESS_RADIX=HEX;

DATA_RADIX=HEX;

CONTENT

BEGIN

0:

7f;

1:

82;

2:

85;

3:

88;

4:

8b;

5:

8f;

6:

92;

7:

95;

8:

98;

9:

9b;

a:

9e;

b:

a1;

c:

a4;

d:

a7;

e:

aa;

f:

ad;

10:

b0;

11:

b3;

12:

b6;

13:

b8;

14:

bb;

15:

be;

16:

c1;

17:

c3;

18:

c6;

19:

c8;

1a:

cb;

1b:

cd;

1c:

d0;

1d:

d2;

1e:

d5;

1f:

d7;

20:

d9;

21:

db;

22:

dd;

23:

e0;

24:

e2;

25:

e4;

26:

e5;

27:

e7;

28:

e9;

29:

eb;

2a:

ec;

2b:

ee;

2c:

ef;

2d:

f1;

2e:

f2;

2f:

f4;

30:

f5;

31:

f6;

32:

f7;

33:

f8;

34:

f9;

35:

fa;

36:

fb;

37:

38:

fc;

39:

fd;

3a:

3b:

fe;

3c:

3d:

3e:

3f:

40:

ff;

41:

42:

43:

44:

45:

46:

47:

48:

49:

4a:

4b:

4c:

4d:

4e:

4f:

50:

51:

52:

53:

54:

55:

56:

57:

58:

59:

5a:

5b:

5c:

5d:

5e:

5f:

60:

61:

62:

63:

64:

65:

66:

67:

68:

69:

6a:

6b:

6c:

6d:

6e:

6f:

70:

71:

72:

73:

74:

75:

76:

77:

78:

79:

7a:

7b:

7c:

7d:

7e:

7f:

80:

81:

7c;

82:

79;

83:

76;

84:

73;

85:

6f;

86:

6c;

87:

69;

88:

66;

89:

63;

8a:

60;

8b:

5d;

8c:

5a;

8d:

57;

8e:

54;

8f:

51;

90:

4e;

91:

4b;

92:

48;

93:

46;

94:

43;

95:

40;

96:

3d;

97:

3b;

98:

38;

99:

36;

9a:

33;

9b:

31;

9c:

2e;

9d:

2c;

9e:

29;

9f:

27;

a0:

25;

a1:

23;

a2:

21;

a3:

1e;

a4:

1c;

a5:

1a;

a6:

19;

a7:

17;

a8:

15;

a9:

13;

aa:

12;

ab:

10;

ac:

f;

ad:

d;

ae:

c;

af:

a;

b0:

9;

b1:

8;

b2:

7;

b3:

6;

b4:

5;

b5:

4;

b6:

3;

b7:

b8:

2;

b9:

1;

ba:

bb:

0;

bc:

bd:

be:

bf:

c0:

c1:

c2:

c3:

c4:

c5:

c6:

c7:

c8:

c9:

ca:

cb:

cc:

cd:

ce:

cf:

d0:

d1:

d2:

d3:

d4:

d5:

d6:

d7:

d8:

d9:

da:

db:

dc:

dd:

de:

df:

e0:

e1:

e2:

e3:

e4:

e5:

e6:

e7:

e8:

e9:

ea:

eb:

ec:

ed:

ee:

ef:

f0:

f1:

f2:

f3:

f4:

f5:

f6:

f7:

f8:

f9:

fa:

fb:

fc:

fd:

fe:

ff:

END

3、存放波形数据ROM的设计。

利用MegaWizardPlug-Inmanager定制正弦信号数据ROM宏功能块,并将以上的波形数据加载于此ROM中。

设计步骤如下:

打开MegaWizardPlug-Inmanager初始对话框。

在Tools菜单中选择MegaWizardPlug-Inmanager产生一个对话框,选择Createanewcustom...项,即定制一个新的模块。

单击Next按钮后,在所产生的对话框的左栏选择Storage项下的LPM_ROM,再选择CycloneⅡ器件和VHDL语言方式;

最后输入ROM文件存放的路径和文件名单击Next按钮。

选择ROM控制线、地址线和数据线。

在弹出的对话框中选择地址线位宽和ROM中数据数分别为8和256;

选择地址锁存控制信号clock。

选择指定路径上的文件sin.mif,最后单击Next按钮,再单击Finish按钮后完成ROM定制,产生VHD代码文件。

sin.vhd代码:

--megafunctionwizard:

%LPM_ROM%

--GENERATION:

STANDARD

--VERSION:

WM1.0

--MODULE:

lpm_rom

--============================================================

--FileName:

sin.vhd

--MegafunctionName(s):

--lpm_rom

--************************************************************

--THISISAWIZARDGENERATEDFILE.DONOTEDITTHISFILE!

--Copyright(C)1988-2000AlteraCorporation

--Anymegafunctiondesign,andrelatednetlist(encryptedordecrypted),

--supportinformation,deviceprogrammingorsimulationfile,andanyother

--associateddocumentationorinformationprovidedbyAlteraorapartner

--underAltera'

sMegafunctionPartnershipProgrammaybeusedonlyto

--programPLDdevices(butnotmaskedPLDdevices)fromAltera.Anyother

--useofsuchmegafunctiondesign,netlist,supportinforma

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1