EDA课程设计 炫彩霓虹灯Word文件下载.docx

上传人:b****3 文档编号:15047064 上传时间:2022-10-27 格式:DOCX 页数:14 大小:255.04KB
下载 相关 举报
EDA课程设计 炫彩霓虹灯Word文件下载.docx_第1页
第1页 / 共14页
EDA课程设计 炫彩霓虹灯Word文件下载.docx_第2页
第2页 / 共14页
EDA课程设计 炫彩霓虹灯Word文件下载.docx_第3页
第3页 / 共14页
EDA课程设计 炫彩霓虹灯Word文件下载.docx_第4页
第4页 / 共14页
EDA课程设计 炫彩霓虹灯Word文件下载.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

EDA课程设计 炫彩霓虹灯Word文件下载.docx

《EDA课程设计 炫彩霓虹灯Word文件下载.docx》由会员分享,可在线阅读,更多相关《EDA课程设计 炫彩霓虹灯Word文件下载.docx(14页珍藏版)》请在冰豆网上搜索。

EDA课程设计 炫彩霓虹灯Word文件下载.docx

CA1

CA2

CA3

CA4

CA5

CA6

CA7

CA8

1

真值表2

CB0

CB1

CB2

CB3

CB4

CB5

CB6

CB7

CB8

动态数码显示模块:

动态显示技术让不同的的数码管分时点亮。

即,某一个数码管的字段码从计算机的一个IO接口输出,通过SS0,SS1,ss2选通该数码管,让这个数码管显示自己的字符几个毫秒,然后将下一个数码管的字段码从计算机的同一个IO口输出。

通过SS0,SS1,ss2选通下一个数码管,让下一个数码管显示自己的字符几个毫秒,一次循环。

由于液晶显示的余辉效应和人眼的视觉迟滞,最后的效果是每一个数码管都在显示各自的字符却感觉到多个管子在同时显示数字。

动态数码管需要由两个信号来控制:

一是数选信号,用来选择显示的数字;

二是位选信号,用来选择第几位数码管工作。

本设计中CLK2为输入频率信号,ss为位选信号,选用了三个数码管分别显示循环类型和循环次数。

蜂鸣器模块:

蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电。

蜂鸣器模块含有一个BUZZER蜂鸣器和一个跳线器JBUZZER,当完成一次循环需要使蜂鸣器发生时,跳线器短接,同时独立拓展下载板JP2-CF的SPEAKER接高电平,蜂鸣器发声。

二、VerilogHDL设计源程序程序

moduleaaa(clk1,clk2,ca,cb,s,ss,v);

//clk1控制各图像之间变化,clk2控制数码管,

outputca,cb,ss,v,s;

inputclk1,clk2;

reg[8:

0]ca,cb;

//ca为L9-17,cb为L18-26

reg[2:

0]ss;

//段选信号

reg[4:

0]n;

//显示数字

reg[3:

0]x,y,z;

//过程中用到的变量,x为个位,y为十位,z为循环方式

0]j;

//控制循环

reg[6:

0]s;

//控制动态数码管段位引线信号

regv;

//控制蜂鸣器

always@(posedgeclk1)//变量j从0到14循环,控制图片切换

begin

v=0;

//蜂鸣器置零

j=j+1;

if(j>

14)

j=0;

v=1;

//完成一次循环,蜂鸣器响一次

end

always@(j)

if(j==0)//开始按方式一循环

ca=9'

b000000000;

cb=9'

z=1;

if(j==1)

ca=9'

b000010000;

elseif(j==2)

begin

b010111010;

elseif(j==3)

b111111111;

elseif(j==4)

elseif(j==5)

elseif(j==6)

elseif(j==7)//开始按方式二循环

b100100100;

z=2;

elseif(j==8)

b100010001;

elseif(j==9)

b000111000;

elseif(j==10)

b001010100;

elseif(j==11)

elseif(j==12)

elseif(j==13)

elseif(j==14)

end//一次循环结束

always@(negedgeclk1)//计数显示模块

if(j==14)

x=x+1;

//个位加1信号

if(x>

9&

&

y<

9)//个位向十位进位信号

begin

x=0;

y=y+1;

elseif(x>

y==9)//计数满99后清零信号

y=0;

always@(posedgeclk2)//动态数码显示管段选信号

if(ss<

3'

b010)

ss=ss+1;

else

ss=3'

b000;

always@(ss)

case(ss)

000:

n=z;

001:

n=y;

010:

n=x;

endcase

always@(n)//动态数码显示管数选段位引线信号

case(n)

0:

s=7'

b0111111;

1:

b0000110;

2:

b1011011;

3:

b1001111;

4:

b1100110;

5:

b1101101;

6:

b1111101;

7:

b0000111;

8:

b1111111;

9:

b1101111;

endmodule//程序结束

三、波形仿真图

四、管脚锁定及硬件连线

clk1inputPIN172clock低频组21号

clk2inputPIN170clock高频组H4号

ca0outputPIN198L9

ca1outputPIN197L10

ca2outputPIN196L11

ca3outputPIN195L12

ca4outputPIN193L13

ca5outputPIN192L14

ca6outputPIN191L15

ca7outputPIN190L16

ca8outputPIN189L17

cb0outputPIN187L18

cb1outputPIN179L19

cb2outputPIN177L20

cb3outputPIN176L21

cb4outputPIN175L22

cb5outputPIN174L23

cb6outputPIN173L24

cb7outputPIN93L25

cb8outputPIN92L26

S0outputPIN90A

S1outputPIN89B

S2outputPIN88C

S3outputPIN87D

S4outputPIN86E

S5outputPIN85F

S6outputPIN83G

SS0outputPIN73SS0

SS1outputPIN70SS1

SS2outputPIN68SS2

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1