数字电路复习题及答案Word文件下载.docx

上传人:b****3 文档编号:14997198 上传时间:2022-10-26 格式:DOCX 页数:15 大小:46.56KB
下载 相关 举报
数字电路复习题及答案Word文件下载.docx_第1页
第1页 / 共15页
数字电路复习题及答案Word文件下载.docx_第2页
第2页 / 共15页
数字电路复习题及答案Word文件下载.docx_第3页
第3页 / 共15页
数字电路复习题及答案Word文件下载.docx_第4页
第4页 / 共15页
数字电路复习题及答案Word文件下载.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

数字电路复习题及答案Word文件下载.docx

《数字电路复习题及答案Word文件下载.docx》由会员分享,可在线阅读,更多相关《数字电路复习题及答案Word文件下载.docx(15页珍藏版)》请在冰豆网上搜索。

数字电路复习题及答案Word文件下载.docx

6.已知F=ABC+CD,选出下列可以肯定使F=0的取值d

(a)ABC=011(b)BC=11(c)CD=10(d)BCD=111

7.2004个1连续异或的结果是a。

(a)0(b)1(c)不唯一(d)逻辑概念错误

二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.5的5421BCD码是0101这个是8421码的。

2.逻辑表达式中,异或的符号是⊕,同或的符号是⊙。

3.逻辑函数常用的表示方法有真值表、逻辑函数式、逻辑图和卡诺图。

4.用代数法化简逻辑函数需要一定的经验和技巧,不容易确定化简结果是否是最简。

5.用卡诺图化简逻辑函数,化简结果一般是最简与-或式。

1.实体(ENTITY)描述一个设计单元的CD的信息。

(a)行为、元件及连接关系(b)元件、子程序、公用数据类型

(c)名称和端口的引脚等(d)可编译的设计单元

2.结构体(ARCHITECTURE)用于描述设计单元的AD。

3.在VHDL语言中,ARCHITECTURE中的语句都是B执行的语句。

(a)顺序(b)并行(c)即可顺序也可并行(d)无法确定

4.在VHDL程序设计中,下面4个部分,C不是可编译的源设计单元。

(a)ARCHITECTURE(b)ENTITY(c)PROCESS(d)PACKAGE

5.在VHDL程序中,以下4个部分,BC可以有顺序执行语句。

(a)结构体(ARCHITECTURE)(b)进程(PROCESS)中的关键词BEGIN前(c)进程(PROCESS)中的关键词BEGIN后(d)程序包(PACKAGE)

6.结构体中的变量应在VHDL程序中D部分给予说明。

(a)结构体对应的实体的端口表中(b)结构体中关键词BEGIN前(c)结构体中关键词BEGIN后(d)程序包(PACKAGE)

7.VHDL中的各种逻辑运算中,运算符D的优先级别最高。

(a)AND(b)OR(c)XOR(d)NOT

8.在VHDL语言中,不同类型的数据是D的。

(a)可以进行运算和直接代入(b)不能进行运算和直接代入

(c)不能进行运算但可以直接代入(d)可以进行运算但不能直接代入

9.在VHDL语言中,信号赋值语句使用的代入符是C。

(a)=(b):

=(c)<=(d)==

10.在VHDL语言中,变量的赋值符是B。

1.两种复合数据类型是记录和集合。

2.进程启动、触发的条件是敏感信号发生变化。

3.进程的语句是顺序执行的。

4.要使用VHDL的程序包时,要用use语句说明。

5.能与逻辑电路图中的器件一一对应的VHDL描述方式是结构化描述。

1.简述VHDL语言的主要优点。

答:

VHDL语言的主要优点是:

(1)覆盖面广,描述能力强,是一个多层次的硬件描述语言;

(2)可读性好,既能够被计算机接受,也容易被人理解;

(3)生命期长,它的硬件描述与工艺技术无关,不会因工艺变化而过时;

(4)支持大规模设计的分解和已有设计再利用,有利于由多人或多项目组来共同完成一个大规模设计;

(5)已成为IEEE承认的一个工业标准,成为一种通用的硬件描述语言。

2.判断如下VHDL的操作是否正确,如不正确,请改正。

字符a和b的数据类型是BIT,c是INTEGER,执行c<=a+b。

操作不正确,应把a和b的数据类型改为INTEGER。

3.一个VHDL模块是否必须有一个实体和一个结构体?

是否可以有多个实体和结构体?

简述它们的作用。

一个VHDL模块必须有一个实体,可以有一个或多个结构体。

实体描述一个设计单元的外部接口以及连接信号的类型和方向;

结构体描述设计单元内部的行为,元件及连接关系,结构体定义出了实体的功能。

1.标准TTL门开门电平Uon之值为D。

(a)0.3V(b)0.7V(c)1.4V(d)2V

2.TTL与非门输出高电平的参数规范值是C。

(a)Uoh≥1.4V(b)Uoh≥2.4V(c)Uoh≥3.3V(d)Uoh=3.6V

3.TTL与非门输出低电平的参数规范值是C。

(a)Uol≤0.3V(b)Uol≥0.3V(c)Uol≤0.4V(d)Uol=0.8V

4.TTL与非门阈值电压UT的典型值是B。

(a)0.4V(b)1.4V(c)2V(d)2.4V

5.TTL与非门输入短路电流IIS的参数规范值是C。

(a)20μA(b)40μA(c)1.6mA(d)16mA

6.TTL与非门高电平输入电流IIH的参数规范值是B。

7.TTL与非门低电平输出电流IOL的参数规范值是D。

8.TTL与非门高电平输出电流IOH的参数规范值是B。

(a)200μA(b)400μA(c)800μA(d)1000μA

9.某集成电路封装内集成有4个与非门,它们输出全为高电平时,测得5V电源端的电流为8mA,输出全为0时,测得5V电源端的电流为16mA,该TTL与非门的功耗为CmW。

(a)30(b)20(c)15(d)10

10.TTL电路中,B能实现“线与”逻辑。

(a)异或门(b)OC门(c)TS门(d)与或非门

1.二极管最重要的特性是单向导电。

2.逻辑电路中,电平接近于零时称为低电平,电平接近Vcc时称为高电平。

3.数字电路中,三极管工作于开关状态。

4.三极管进入饱和后,若继续增加IB,集电极电流IC减小。

5.在三极管c、b极间并接肖特基二极管,可提高三极管开关速度。

试分析TTL非门输入端接法如下时,相当于接什么电平?

(1)(a)接地;

(b)接低于0.8V的电压;

(c)接另一TTL电路的输出低平(0.3V)。

(2)(a)悬空;

(b)接高于2V的电压;

(c)接另一TTL电路的输出高电平(3.6V)。

解:

(1)(a)、(b)、(c)中的输入均小于TTL门的关门电平Uoff(UIILmax=0.8V),因此,相当于接低电平。

(2)(a)输入端悬空,相当于入端对地接无穷大电阻,它远大于开门电阻RON,TTL门输入悬空,相当于接高电平;

(b)、(c)中的输入电压大于或等于TTL门的开门电平Uon(UIHmin=2V),因此,相当于接高电平。

设计一个发光二极管(LED)驱动电路,设LED的参数为UF=2.2V,ID=10mA;

若Vcc=5V,且当LED发亮时,电路的输出为低电平,选择集成门电路的型号,并画出电路图。

根据题意,可画电路图

(1)决定限流电阻R之值(取UOL=0.4V)

R==×

103Ω=240Ω

(2)选用门电路的型号:

由于电路输出为低电平时LED发光,要求所选门电路的IOLmax≥ID=10mA,可选74系列TTL门7404非门。

1.10-4线优先编码器允许同时输入D路编码信号。

(a)1(b)9(c)10(d)多

2.74LS138有B个译码输入端和C个译码输出端。

(a)1(b)3(c)8(d)无法确定

3.利用2个74LS138和1个非门,可以扩展得到1个C线译码器。

(a)2-4(b)3-8(c)4-16(d)无法确定

4.用原码输出的译码器实现多输出逻辑函数,需要增加若干个B。

(a)非门(b)与非门(c)或门(d)或非门

5.七段译码器74LS138的输入是4位D,输出是C。

(a)二进制码(b)七段码(c)七段反码(d)BCD码

6.多路数据选择器MUX的输入信号可以是D。

(a)数字信号(b)模拟信号(c)数模混合信号(d)数字和模拟信号

7.与4位串行进位加法器比较,使用超前进位全加器的目的是C。

(a)完成自动加法进位(b)完成4位加法(c)提高运算速度(d)完成4位串行加法

8.功能块电路内部一般是由C组成。

(a)单片MSI(b)多片MSI(c)各种门电路(d)无法确定

9.某逻辑电路由一个功能块电路组成,整体电路的逻辑功能与这个功能块原来的逻辑功能D。

(a)一定相同(b)一定不同(c)不一定相同(d)无法确定

1.所谓组合逻辑电路是指:

在任何时刻,逻辑电路的输出状态只取决于电路各输入信号的组合,而与电路原有状态无关。

2.在分析门级组合电路时,一般需要先从卡诺图写出逻辑函数式。

3.在设计门级组合电路时,一般需要根据设计要求列出布尔表达式,再写出逻辑函数式。

4.要扩展得到1个6-64线译码器,需要9个74LS138。

5.基本译码电路除了完成译码功能外,还能实现逻辑函数发生和DMUX逻辑函数发生和DMUX功能。

试用译码器设计1位二进制数全减运算电路。

本题的目的是练习用译码器实现多输出逻辑电路。

(1)规定逻辑变量

设输入逻辑变量Ai为被减数、Bi为减数、Ci-1为低位的借位,输出逻辑函数Si为差、Ci为本级的借位输出信号。

根据设计要求写出逻辑真值表。

(2)设计电路

由于本设计有Ai、Bi和Ci-1共3个输入量,故选用3-8线译码器实现电器最为简便。

首先将输出逻辑表达式写为最小项和的形式

Si=

Ci=

选用3-8线译码器74LS138和双4输入与非门74LS20实现的逻辑电路设计见图,将Ai、Bi、Ci-1接译码器的输入A2A1A0,74LS138的输出为低电平有效,故在输出端接与非门。

试设计一个码制转换电路。

K为控制信号,K=0时,输入DCBA为8421码,输出L3L2L1L0为循环码。

K=1时,输入为循环码,输出S3S2S1S0为8421码。

(1)规定逻辑变量和列代码转换表

当控制量K=0时,输入DCBA为8421

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1