VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx

上传人:b****2 文档编号:13960660 上传时间:2022-10-15 格式:DOCX 页数:14 大小:186.28KB
下载 相关 举报
VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx_第1页
第1页 / 共14页
VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx_第2页
第2页 / 共14页
VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx_第3页
第3页 / 共14页
VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx_第4页
第4页 / 共14页
VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx

《VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx(14页珍藏版)》请在冰豆网上搜索。

VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计Word格式文档下载.docx

课程设计名称:

设计题目:

完成期限:

自年月日至年月日共周

设计依据、要求及主要内容(可另加附页):

指导教师(签字):

批准日期:

年月日

摘要2

Abstract2

第一章课程设计的目的3

第二章课程设计的内容3

2.1课程设计的简要说明3

2.2课程设计的任务和要求3

第三章电路工作原理4

第四章主要程序及仿真结果5

4.1主要程序5

4.2编译和波形仿真9

第五章对本次设计的体会和建议12

参考文献:

13

摘要

用VHDL编程模拟乒乓球比赛,电路模块由状态机、记分器、译码显示器等部分组成,对各部分编写VHDL算法,进行编译、仿真、逻辑综合、逻辑适配及程序下栽.通过GW48型EDA实验箱的验证,乒乓游戏机能模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。

VHDL是高速集成电路硬件描述语言,目前已成为许多设计自动化工具普遍采用的标准化硬件描述语言.VHDL语言功能性强、覆盖面广、灵活性高,具有很好的实用。

本文设计一个基于VHDL的乒乓游戏机模拟乒乓球比赛。

设计的难点是状态机。

并对其进行仿真,给出了仿真波形,完整程序可写入芯片,配合外围元器件,实现乒乓游戏机的功能。

关键词:

VHDL;

GW48;

乒乓游戏机

Abstract

TabletennissimulationgameusingVHDLprogramming,circuitmoduleiscomposedofstatemachine,marker,decodingdisplayparts,eachpartofthepreparationoftheVHDLalgorithm,compile,simulation,logicsynthesis,logicadapterandprogramplanted.ThroughexperimentalverificationboxtypeGW48EDA,tabletennistourbasicprocessandrulesofplayoffunctionsimulationtabletenniscompetition,andcanautomaticallyjudgeandscore.VHDLisahighspeedintegratedcircuithardwaredescriptionlanguage,hasnowbecomethestandardiswidelyusedinmanydesignautomationtools,hardwaredescriptionlanguage.VHDLlanguagefunctionisstrong,widecoverage,highflexibility,practicabilityisverygood.AtabletennismatchsimulationtabletennisgamemachinebasedonVHDLisdesignedinthispaper.Designisthedifficultyofstatemachine.Andthesimulation,thesimulationwaveform,acompleteprogramcanbewrittenwithchip,peripheralcomponents,toachievethefunctionoftabletennisgamemachine.

Keywords:

VHDL;

GW48;

tabletennisgamemachine

第一章课程设计的目的

1、熟练掌握了相关软件MaxPlus2的使用操作。

能对VHDL语言程序进行编译及调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。

2、在成功掌握软件操作基础上,将所学数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”的观点。

3、完成可编程器件与VHDL语言课程设计,掌握设计语言技术的综合应用性。

本次设计课题为多路彩灯控制器。

4、熟悉系统的分析和设计方法,合理掌握选用集成电路的方法,初步接触EDA技术,为以后本专业的学习奠定良好的基础。

第二章课程设计的内容

2.1课程设计的简要说明

两人乒乓球游戏机是用9个发光二级管代表乒乓球台,中间一个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动。

在游戏机的两侧各设置发球和击球开关,(一个是发球开关StartA,StartB;

另一个是击球开关HitA,HitB。

)甲乙双方按乒乓球比赛规则来操作开关。

当甲方按动发球开关时,靠近甲方的第一个发光二极管亮,然后发光二极管由甲方向乙方依次点亮,代表乒乓球的移动。

当球过网后按照设计者规定的球位乙方就可以击球。

若乙方提前击球或者未击到球,则甲方得分,甲方的记分牌自动加分。

然后重新发球进行比赛,知道一方记分达到21分为止,记分清零,重新开始新一局比赛。

2.2课程设计的任务和要求

设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和计分。

要求如下:

1.使用乒乓游戏机的甲、乙双方各在不同的位置发球或击球。

2.乒乓球的位置和移动方向由灯亮及依次点亮的方向决定。

球移动的速度为0.1~0.5s移动一位(读者可以根据自己的情况进行设定)。

球过网,接球方就可以接球,提前接球或者没击着球均判失分。

3.比赛按21分为一局,甲、乙双方都应设置各自的记分牌,任何一方先记满21分,该方就算胜出。

按Reset键将记分牌清0后,即可开始新的一局比赛。

2.3课程设计的训练目标

学会用VHDL设计简单的状态机,掌握用状态机控制其他模块并处理其反馈信息的经典用法。

第三章电路工作原理

ScoreA

ScoreB

IncreaseA

IncreaseB

Clear

Light[0..8]

按键

系统的逻辑划分图

设计该乒乓球游戏机的输入/输出端口。

首先考虑输入端口,一般都应该设置一个异步置位端口Reset,用于在系统不正常时回到初始状态;

两个发球输入端StartA,StartB,逻辑‘1’分别表示甲方和乙方的发球;

两个击球输入端Hit1和Hit2,逻辑‘1’分别表示甲击球和乙击球;

一个开始游戏按钮startbutton,处于逻辑‘1’表示可以游戏;

还得有一个时钟输入端口clk。

其次考虑输出端口,芯片应该有9个输出端口来控制9个发光二极管,输出逻辑‘1’即输出一个高电平,可以使发光二极管点亮;

另外,要直观地表示双方的得分,就得用到七段译码器,每方用到2个,可以表示0~21的数字,每个七段译码器需要芯片的7个输出端口来控制,总共需要28个输出端口。

第四章主要程序及仿真结果

4.1主要程序

4.1.1主程序状态机模块

这个模块式主程序模块,也是最重要的模块。

采用状态机单进程的方法,一共设置了7个状态,分别是“等待发球状态”(waitserve),“第一盏灯亮状态”(light1on),“第六盏灯亮状态”(light6on),“球向乙移动状态”(ballmove2),“球向甲移动状态”(ballmoveto1),“允许甲击球状态”(allow1hit),“允许乙击球状态”(allow2hit)。

具体实现代码如下:

process(clk1,reset)--clk作为敏感信号触发进程

begin--进程开始

ifreset='

0'

then--异步置位

i<

=0;

count1<

="

00000"

;

count2<

elsif(rising_edge(clk1))then--当处于时钟inclock上升沿时

ifcount1="

10101"

orcount2="

then

i<

count1<

--count1和count2分别为甲、乙的得分

count2<

else--以下case语句是程序中最关键的状态机部分

casestateis

whenwaitserve=>

--进程处于等待发球状态

ifserve='

then

i<

=1;

state<

=light1on;

elsei<

=6;

=light6on;

endif;

whenlight1on=>

--进程处于第一盏灯亮状态

i<

=2;

ifhit2_en='

then

count1<

=count1+1;

--甲得一分

=waitserve;

else

=ballmoveto2;

whenlight6on=>

--进程处于第八盏灯亮状态

=5;

ifhit1_en='

count2<

=count2+1;

--乙得一分

=ballmoveto1;

whenballmoveto1=>

--进程处于球向乙移动状态

elsifi=2theni<

=allow1hit;

=i-1;

whenballmoveto2=>

elsifi=5theni<

=allow2hit;

=i+1;

state<

whenallow1hit=>

--进程处于允许甲击球状态

theni<

elsecount2<

state<

whenallow2hit=>

--进程处于允许乙击球状态

then

=

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 成人教育 > 专升本

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1