VGA显示器彩色信号显示控制器课程设计文档格式.docx

上传人:b****4 文档编号:13898879 上传时间:2022-10-14 格式:DOCX 页数:12 大小:116.78KB
下载 相关 举报
VGA显示器彩色信号显示控制器课程设计文档格式.docx_第1页
第1页 / 共12页
VGA显示器彩色信号显示控制器课程设计文档格式.docx_第2页
第2页 / 共12页
VGA显示器彩色信号显示控制器课程设计文档格式.docx_第3页
第3页 / 共12页
VGA显示器彩色信号显示控制器课程设计文档格式.docx_第4页
第4页 / 共12页
VGA显示器彩色信号显示控制器课程设计文档格式.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

VGA显示器彩色信号显示控制器课程设计文档格式.docx

《VGA显示器彩色信号显示控制器课程设计文档格式.docx》由会员分享,可在线阅读,更多相关《VGA显示器彩色信号显示控制器课程设计文档格式.docx(12页珍藏版)》请在冰豆网上搜索。

VGA显示器彩色信号显示控制器课程设计文档格式.docx

由此,容易得到系统结构框图,有关系统功能分析和输入输出约束。

第二步:

系统层次模块划分

由系统功能可以将系统从逻辑上划分为输入子系统、模式检测子系统和VGA控制子系统。

从系统逻辑结构出发,将系统设计为3层。

顶层用于描述整个系统的功能和运行;

第二层承接顶层,负责实现系统中各个独立而完整的功能部分;

第三层为第二层的子模块,用于扩展第二层的功能,具体负责用户模式的实现。

每个层次可用一个或多个具体模块加以实现。

控制器部分是系统的核心部分,负责协调和控制各子模块的工作。

控制器设计采用有限状态机的方法。

第三步:

硬件语言描述

在前述工作的基础上,采用VHDL语言对VGA显示控制器的三个层次依次进行描述,具体描述出每个模块,生成具体电路视图。

详述与2.3小节中。

第四步:

仿真验证

经过前三步之后,系统设计基本完成,需要对系统主要功能进行仿真验证,更进一步分析状态机、电路逻辑和时序配合等是否正确。

详述于2.4小节中。

2.2系统结构设计

2.2.1系统结构框图

图2.1VGA显示控制器系统结构框图

VGA显示控制器的输入是用户控制信息,如显示模式的切换命令、图形移动的控制命令等。

控制器根据用户的输入信息,决定工作模式,这里定义为横彩模式、纵彩模式、纯色模式、用户模式。

用户模式中,还应根据用户的输入控制图形的运动方向。

颜色信息的产生、显示器扫描同步信号等由VGA控制器产生,其输出为CRT显示器。

2.2.2系统逻辑功能划分

图2.2VGA显示控制器系统逻辑框图

如图2.2所示,系统可逻辑地划分为三个子系统:

一是输入子系统,包括输入检测和输入寄存两个功能;

二是模式检测子系统,根据用户输入决定工作模式,将模式信息传递给VGA控制器;

三是VGA控制子系统,该子系统中可进一步划分出为多个模块,负责依据模式码产生对应模式的同步信号、颜色和图形信号等,从VGA接口输出到CRT显示器进行显示。

2.2.3层次模块划分

系统划分为三层,自顶向下分别是顶层模块、控制器子模块、用户模式底层模块。

顶层描述了整个系统的功能和运行;

控制器子模块实现系统中各个独立而完整的功能部分;

2.3图像信号产生模块的设计

产生图像信号的核心器件采用Altera公司的CycloneFPGA芯片EP1C3T144C8N。

它具有多达20060个逻辑单元。

最大用户I/O数104个。

器件中M4K存储块提供288kbit存储容量,能够被配置来支持多种操作模式,包括RAM、ROM、FIFO及单口和双口模式。

Cyclone器件具有高级外部存储器接口,允许设计者将外部单数据率(SDR)SDRAM,双数据率(DDR)、SDRAM和DDRFCRAM器件集成到复杂系统设计中,而不会降低数据访问的性能。

并且还具有两个可编程锁相环(PLL)和八个全局时钟线,能提供时钟管理和频率合成,实现最大的系统功能。

根据VGA显示原理,图象信号产生器的主要功能是:

产生时序驱动信号HS、VS及VGA彩色图象编码信号,同时在正确的时序控制下,输出ROM中的象素数据至显示器的VGA接口,进行图象显示。

本文利用Altera公司QuartusⅡ6.0开发平台,遵循自顶向下的设计方法,针对各功能模块,采用VHDL语言对FPGA器件编程,产生HS和VS扫描时序信号及各种图象信号。

VGA时序信号是图象显示的关键,行场扫描时序的产生,是利用逻辑编程的方法实现的,即用VHDL编写分频器,计时器模块,来获得T1、T2、T3、T4时序。

当输出数字、彩条信号和棋盘格图象时,由外部12M有源晶振提供时钟输入,其中行频HS:

12MHZ÷

13÷

29=31830Hz、场频VS:

31830Hz÷

480×

0.93=61.67Hz、T1=1/31830Hz×

4/29=25.96us、T2=1/31830Hz×

5/29=6.04us、T3为两个行周期(T1+T2),T4为480个行周期。

图象信号包括数字、彩条、棋盘格,和ROM中定制的图形等。

数字信号和彩条信号的产生是按行场方向将屏幕各进行8等分,相当于一个8×

8的点阵,在对应位置显示相应颜色即可获得所需图像信号;

棋盘格信号是将横彩条和竖彩条相异或获得。

ROM中定制的较为复杂的彩色图像,需采用像素点输出,即将图像各像素点的信息存储于ROM中,再以一定的频率输出。

FPGA器件ROM的定制有两种方法:

第一种方法是利用FPGA器件的嵌入式存储器定制LPM_ROM,用.MIF文件或.HEX文件对其进行初始化,这种方法获得的ROM最大寻址空间为212,可以存储一幅分辨率为64×

64的图像信息;

第二种方法是在FPGA逻辑资源的限度内用VHDL语言定制一个ROM,采用CASE语句对其进行初始化,这种方法获得的ROM在存储深度较大时,编译时对时间的开销较大。

ROM初始化完成后,在25MHz的时钟频率下输出存储的图像信息。

其图象颜色种类的多少取决于存储空间的大小。

ROM定制的图象信息是利用FPGA嵌入的存储器定制LPM_ROM,可以用于存储一幅64×

64分辨率的图像信息,数据线宽为3位,地址线12根,采用组合寻址方式,即行地址HSADDRESS占低6位,场地址VSADDRESS占高6位;

若要显示更为复杂的图象信息,只需扩展存储器及寻址的数据线宽度,为了保证行地址信号输出与行扫描信号输出同步,场地址信号输出与场扫描信号输出同步,在VHDL编程时,可用25MHz时钟作为进程的启动信号。

2.4视频输出接口电路部分设计

VGA接口采用非对称分布的15pin连接方式,其工作原理是将显存内以数字格式存储的图像(帧)信号在RAMDAC里经过模拟调制成模拟高频信号,然后进行输出显示,这样VGA信号就不必像其它视频信号那样还要经过矩阵解码电路的换算。

从视频成像原理可知VGA的视频传输过程是最短的,所以VGA接口拥有许多的优点,如无串扰、无电路合成分离损耗等。

2.5模式控制与显示部分设计

为了实现人机对话,模式控制与显示即人机接口的设计,选用LCD显示器和矩阵键盘,使接口和显示更加友好。

要求能根据键盘扫描结果,控制不同的图象信号输出,并进行相应的功能显示。

采用单片机89S51作为控制器,对键盘模块和功能显示模块进行控制。

用C语言编程,对键盘进行扫描和液晶显示模块的控制。

当然也可以对FPGA器件编程,实现对键盘模块和功能显示模块的控制。

但需占用FPGA器件的逻辑资源,会对定制图像信息的存储空间造成影响。

本设计采用4×

4矩阵式键盘,行、列线占用单片机8个I/O口资源,键盘扫描过程是列扫描行输出,逐列扫描,读取键值,根据读回的值判断所按键的位置,按键消抖采用延时消抖方式,根据键值跳转执行相应功能程序。

显示器采用TS-12864-3液晶显示屏,由单片机控制及驱动,显示系统当前工作状态等信息。

2.6顶层文件设计

2.6.1VGA显示器主要由以下模块组成

分频模块,VGA时序控制器模块,彩条图形生成模块,VGA显示模块,存储模块

 

其中二分频把50mhz时钟频率分成25mhz并提供给其它模块作为时钟;

VGA时序控制模块用于产生640×

480显示范围,并控制显示范围和消隐范围以及产生水平同步时序信号hs和垂直同步时序信号vs的值;

存储器读出模块提供给SRAM地址并按地址读出八位数据(灰度值Y),然后得到R、G、B的值(若Y>

中间值,则R=G=B=1;

否则R=G=B=0),并把R、G、B的值通过VGA接口传到CRT显示器

2.6.2VGA显示原理

1.常见的彩色显示器一般由CRT(阴极射线管)够成,彩色是有R、G、B(红:

Red,绿:

Green,蓝:

Blue)三基色组成,用逐行扫描的方式解决图像显示。

阴极射线枪发出的电子束打在涂有荧光粉的屏幕上,产生R、G、B三基色,合成一个彩色像素。

扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间对电子束进行行消隐,每行结束时,用行同步信号进行行同步,扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。

在本设计中采用普通的VGA显示器,一共引出5条信号线:

R、G、B:

三基色信号;

HS:

行同步信号;

VS:

场同步信号。

在设计过程中严格按照VGA的工业标准要求进行设计,其中时钟频率为25.175MHZ,行频为31469HZ,场频为59.94HZ。

2.实现电路:

3.时序信号的产生

图片要想在显示器上显示出来,它主要需要5个信号,行同步信号HS(HoriZontal—Sync)、场同步信号VS(Vertical—Sync)和RGB三基色信号。

VGA时序控制模块是整个显示控制器的关键部分,最终输出的行、场同步信号必须严格按照VGA时序标准产生相应的脉冲信号。

图1和图2所示为行扫描和场扫描的时序图。

图1行扫描时序示意图

图2场扫描时序示意图

对此5个信号的时序驱动,VGA显示器也要严格遵守“VGA-I-业标准”即640×

480X60Hz模式,否则会损害V6A显示器。

行、场扫描时序要求如表1和表2所示。

表1行扫描时序要求:

(单位:

像素即输出一个像素PixeI的时间间隔)

表2场扫描时序要求:

行即每输出一行line的时间间隔)

VGA的工业标准显示模式要求行同步和场同步都为负极性,即同步头脉冲要求是负脉冲。

对于一些VGA显示器,HS和VS的极性可正可负,显示器内可以自动转换为正极性逻辑。

在图1和图2中,R、G、B为正极性信号即高电平有效。

当VS=0、HS=1时,CRT现实的内容为亮的过程,即正向扫描过程约为26us。

当一行扫描完毕,行同步HS=1,约需6us。

期间,CRT扫描产生消隐,电子束回到CRT左边下一行的起始位置(X=0,Y=1);

当扫描完480行后,CRT的场同步VS=1,产生场同步使扫描线回到CRT的第一行第一列(X=0,Y=0)处(约为两个行周期)。

4.彩色信号的生成

本设计中采用3位数字表达式R、G、B(纯数字方式)三种基色,可以显示8中颜色,表三是此八种颜色的对应的编码电平。

利用数字逻辑关系将R、G、B进行逻辑运算便可得到显示的图形。

表三颜色编码

颜色

绿

R

1

G

B

5.显示控制模块

经编译后的彩条信号经过显示模块处理后送到显示器进行显示。

利用行、场同步信号的计数器,在图像有效区间内按照图片的大小划定行和列的起始坐标和终止坐标。

本设计使用和行、场计数器相同频率的时钟信号作为图像地址计数器的时钟,当扫描信号到达显示图片的区间时,图像地址计数器开始计数,同时从相应位置读出数据送往显示器进行显示,显示模块的输出直接连到VGA的接口。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 成人教育 > 电大

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1