基于CPLD的三相多波形函数发生器资料Word下载.docx

上传人:b****4 文档编号:13576172 上传时间:2022-10-11 格式:DOCX 页数:40 大小:236.60KB
下载 相关 举报
基于CPLD的三相多波形函数发生器资料Word下载.docx_第1页
第1页 / 共40页
基于CPLD的三相多波形函数发生器资料Word下载.docx_第2页
第2页 / 共40页
基于CPLD的三相多波形函数发生器资料Word下载.docx_第3页
第3页 / 共40页
基于CPLD的三相多波形函数发生器资料Word下载.docx_第4页
第4页 / 共40页
基于CPLD的三相多波形函数发生器资料Word下载.docx_第5页
第5页 / 共40页
点击查看更多>>
下载资源
资源描述

基于CPLD的三相多波形函数发生器资料Word下载.docx

《基于CPLD的三相多波形函数发生器资料Word下载.docx》由会员分享,可在线阅读,更多相关《基于CPLD的三相多波形函数发生器资料Word下载.docx(40页珍藏版)》请在冰豆网上搜索。

基于CPLD的三相多波形函数发生器资料Word下载.docx

另外由于CPLD具有可编程重置特性,因而可以方便地更换波形数据,且简单易行,带来极大方便。

关键词:

信号发生器设计;

三相;

VHDL;

CPLD;

MAX+plusII

 

ABSTRACT

Directdigitalfrequencysynthesize(DDFS)isarecentlyandrapidlydevelopedtechnologywhichfeatureshighfrequencyresolution.ThispaperbrieflyintroducesthebasicprincipleofDDS.ThebasicprincipleandperformanceofCPLDchip.ThenitmainlydescribeshowtouseCPLDchiptodesignafunctiongeneratorofhighaccuracy.Theprincipleofthree-phasemulti-signalgeneratorbasedonCPLDandDDStechnologyisintroduced.Basedonthese,themodulesofCPLDdesignaregiven.

Themulti-wavesignalgeneratorisdesignedbasedonprogram-mablelogicalcomponentCPLD.TheVHDLprogrammingrealizationandtheMAX+plusIIdevelopmentplatform.BesidesthecrystaloscillatorandtheA/Dtransformation,theentiresystemcompletelyintegratesontheCPLDchip.Themulti-wavesignalgeneratormayoutputthesine-wave,thetriangle-wave,thesquare-wave.Thendownloadedunderthesituationwhichtheentiresystemhardwareconnectsdonotchange,andfinallyoutputthespecialprofilewhichuserneeds.Themulti-wavesignalgeneratorgenerateswavewhichtheconventionalfunctionsignalgeneratorscan’tmake.MoreoverbecauseoftheprogrammableresetfeatureoftheCPLD,thegeneratorcanchangethewavedataconvenientlyandpracticeeasily.ThewholedesignrealizesbytheVHDLprogrammer.Itsdesignprocesshassimplefeature,easymodificationandhightransportation.

Keywords:

SignalGeneratorDesign;

Three-phase;

目录

1引言1

2基于CPLD的三相多波形函数发生器设计3

2.1波形发生器系统的设计方法及其技术指标3

2.1.1设计方式概述3

2.1.2三相函数多波形发生器技术指标5

2.1.3三相波形发生器设计方法概述5

2.2设计方案6

2.2.1三相函数发生器设计原理6

2.2.2多波形发生器的各个波形模块设计方式简介9

2.3调试部分12

2.3.1CPLD在使用中遇到的问题12

2.3.2控制电路的调试13

2.3.3DAC电路的调试13

2.3.4程序的调试13

2.3.5硬件电路的调试13

结论15

参考文献16

附录1三相多波形函数发生器各模块的程序17

附录2元件介绍23

1DAC083223

2LM32424

3PM7128SLC84-15芯片25

附录3电路原理图26

附录4英文资料及译文27

1英文资料27

2英文译文36

致谢43

1引言

现代电子技术的核心技术是EDA(ElectronicDesignAutomation)。

EDA技术就是依赖强大的电子计算机在EDA开发平台上,对硬件描述语言HDL(HardwareDescriptionLanguage)系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。

EDA使得电子技术领域各学科的界限更加模糊,更加护为包容:

模拟与数字、软件与硬件、系统与器件、行为与结构、ASIC(ApplicationSpecificIntegratedCircuit,专用集成电路)与FPGA(FieldProgrammableGateArray)等。

EDA技术在21世纪得到的很大进步,例如更大规模的FPGA和CPLD(ComplexProgrammableLogicDevice)器件的不断推出;

软硬件IP核(IntellectualProperty)在电子行业的产业领域、技术领域和设计应用领域得到进一步的确认;

系统级、行为验证级硬件描述语言(SystemC)的出现,使复杂电子系统和验证趋于简单。

硬件描述语言VHDL[全名是VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptionLanguage]是EDA技术的重要组成部分,由美国国防部发起创建,由IEEE(TheInstituteofElectricalandElectronicsEngineers)进一步发展并发布,是硬件描述语言的业界标准之一。

VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。

VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,VHDL支持各种模式的设计方法:

自顶向下与自顶向上或混合方法,在面对当今电子产品生命周期缩短,需要多次重新设计以融入最新技术、改变工艺等方面,VHDL具有良好的适应性。

向器件作编程或适配习惯上叫做下载,这要通过下载软件平台或者下载电缆实现。

这是设计过程中的重要步骤,可以利用MAX+PLUSⅡ软件在计算机上完成设计并下载到目标器件中。

EDA工具软件大致可以分为5个模块:

设计输入编辑器,仿真器,HDL综合器,适配器,下载器等。

DirectDigitalSynthesis(DDS)是20世纪60年代末出现的第三代频率合成技术。

该技术从相位概念出发,以时域采样定理为基础,在时域中进行频率合成,它以可编程逻辑器件(CPLD)作为控制及数据处理的核心,将存于FlashROM的波形数据用D/A转换器快速恢复。

DDS频率转换速度快,频率分辨率高,并在频率转换时可保持相位的连续,因而易于实现多种调制功能。

DDS是全数字化技术,其幅度、相位、频率均可实现程控,并可通过更换波形数据灵活实现任意波形。

此外,DDS易于单片集成,体积小,价格低,功耗小,因此DDS技术近年来得到了飞速发展,其应用也越来越广泛。

基于CPLD和DDS技术的函数发生器可以实现信号波形的多样化,而且方便可靠,简单经济,系统易于扩展,同时可大大提高输出信号的带宽。

CPLD为连续式互连结构,器件引脚到内部逻辑单元,以及各逻辑单元之间,是通过全局互连总线中的多路选择器或交叉矩阵选通构成信号通路。

其主要特点是内部时间延时与器件逻辑结构等无关,各模块之间提供了具有固定时延的快速互连通道,因此可以预测时间延时,容易消除竞争冒险等现象,便于各种逻辑电路设计。

本文的DDS系统以CPLD为核心,采用直接数字合成技术,辅以必要的模拟电路,构成一个波形稳定,精度较高的函数信号发生器。

本系统的特色在于CPLD中集成了大部分电路,使系统大大简化,除输出所需的正弦波、方波、三角波,还可进行波形存储,三种波形之间的相位差均为120°

,是三相的CPLD系统。

DDS的理论依据是奈奎斯特抽样定理。

根据该定理,对于一个周期正弦波连续信号,可以沿其相位轴方向,以等量的相位间隔对其进行相位/幅度抽样,得到一个周期性的正弦信号的离散相位的幅度序列,并且对模拟幅度进行量化,量化后的幅值采用相应的二进制数据编码。

这样就把一个周期的正弦波连续信号转换成为一系列离散的二进制数字量,然后通过一定的手段固化在只读存储器ROM中,每个存储单元的地址即是相位取样地址,存储单元的内容是已经量化了的正弦波幅值。

这样的一个只读存储器就构成了一个与2π周期内相位取样相对应的正弦函数表,因它存储的是一个周期的正弦波波形幅值,因此又称其为正弦波形存储器。

此时,正弦波形信号的相位与时间成线性关系。

根据这一基本关系,在一定频率的时钟信号作用下,通过一个线性的计数时序发生器所产生的取样地址对已得到的正弦波波形存储器进行扫描,进而周期性地读取波形存储器中的数据,其输出通过数模转换器及低通滤波器就可以合成一个完整的、具有一定频率的正弦波信号。

DDS电路一般包括系统时钟、相位累加器、相位调制器、ROM查找表、D/A转换器和低通滤波器(LPF)。

2基于CPLD的三相多波形函数发生器设计

2.1波形发生器系统的设计方法及其技术指标

2.1.1设计方式概述

在电子工程设计与测试中,常常需要一些具有特殊要求的信号,要求其波形产生多,频率方便可调。

通常的信号产生器难以满足要求,市场上出售的任意信号产生器价格昂贵。

在老师指导下,结合实际要求,我设计了一种基于CPLD的三相多波形函数发生器,能输出正弦波、三角波、方波等波形信号,波形信号之间的相位差均为120˚。

复杂可编程逻辑器件CPLD器件可以代替许多分立元器件,从而大大降低了电路板的复杂程度

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1