基于VHDL的数字时钟设计和时序仿真教程.docx

上传人:b****9 文档编号:134839 上传时间:2022-10-04 格式:DOCX 页数:45 大小:500.40KB
下载 相关 举报
基于VHDL的数字时钟设计和时序仿真教程.docx_第1页
第1页 / 共45页
基于VHDL的数字时钟设计和时序仿真教程.docx_第2页
第2页 / 共45页
基于VHDL的数字时钟设计和时序仿真教程.docx_第3页
第3页 / 共45页
基于VHDL的数字时钟设计和时序仿真教程.docx_第4页
第4页 / 共45页
基于VHDL的数字时钟设计和时序仿真教程.docx_第5页
第5页 / 共45页
点击查看更多>>
下载资源
资源描述

基于VHDL的数字时钟设计和时序仿真教程.docx

《基于VHDL的数字时钟设计和时序仿真教程.docx》由会员分享,可在线阅读,更多相关《基于VHDL的数字时钟设计和时序仿真教程.docx(45页珍藏版)》请在冰豆网上搜索。

基于VHDL的数字时钟设计和时序仿真教程.docx

基于VHDL的数字时钟设计和时序仿真

毕业设计

基于VHDL的数字时钟设计和时序仿真

学生姓名:

学 院:

专 业:

指导教师:

年 月

第27页 共45页

目 录

1引言 1

2设计概述 2

3开发工具简介 4

3.1VHDL语言简介 4

3.1.1VHDL发展史 4

3.1.2VHDL设计特点 4

3.1.3VHDL设计结构 5

3.1.4VHDL设计步骤 6

3.2QuartusII软件简介 6

3.2.1QuartusII软件介绍 6

3.2.2QuartusII软件设计流程 6

4数字时钟的设计要求和原理 8

4.1设计要求 8

4.2设计原理 8

5数字时钟模块化设计 10

5.1分频模块 11

5.2计时模块 13

5.2.1秒计时模块 13

5.2.2分计时模块 15

5.2.3时计时模块 17

5.3报警模块 18

5.4数据选择模块 20

5.5译码显示模块 22

6数字时钟模块化仿真 24

6.1计时模块仿真图 24

6.1.1秒模块仿真图 24

6.1.2分模块仿真图 24

6.1.3时模块仿真图 25

6.2报警模块仿真图 25

6.3数据选择模块仿真图 26

6.4译码模块仿真图 26

6.5数字时钟整体仿真图 27

7FPGA开发板实验 28

7.1芯片和器件选择 28

7.2外部电路接线 28

7.2硬件实物图 28

8结论 30

附录 31

参考文献 42

致谢 43

1引言

随着科学技术的迅猛发展,在计算机技术的推动下电子技术获得了飞速的发展。

电子产品几乎渗透到了工业、生活的各个领域,其中集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。

基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。

可编程逻辑器件和相应的设计技术主要体现在三个方面:

一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现[1]。

在本设计中采用了集成度较高的FPGA可编程逻辑器件,选用了VHDL硬件描述语言和QuartusII开发软件进行设计。

VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色,由于采用了具有多层次描述系统硬件功能的“自顶向下”(Top-Down)的全新设计方法,使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短了产品的研制周期[2]。

QuartusII软件是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系[3]。

EDA技术是以计算机为工具,根据硬件描述语言 HDL完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线。

仿真以及对于特定目标芯片的适配编译和编程下载等工作。

典型的 EDA工具中必须包含两个特殊的软件包,即综合器和适配器。

综合器的功能就是将设计者在 EDA

平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。

综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。

综合过程就是将电路的高级语言描述转换低级的。

可与目标器件 FPGA/CPLD相映射的网表文件。

适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最

终的下载文件,如JED文件。

适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。

2设计概述

数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,数字时钟可植入自动控制、测试等系统内部,作为系统的时钟源,可为系统提供定时信号或中断控制的时间基准,具有广泛的用途。

由于数字集成电路的发展使得数字时钟的精度远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的单一报时功能[4]。

加入了一些诸如自动报时、定时闹钟等功能。

这些都是以钟表数字化为基础的。

因此,研究数字时钟及扩大其应用,有着非常现实的意义。

数字时钟的设计方法有很多种,包括基于单片机的硬件设计、基于各种描述语言的软件设计,本设计采用VHDL语言来设计数字时钟有着多方面的优越性,通过把整个设计分为多个模块依次来实现,减少了众多重复性步骤,合理化了设计过程,使得设计更加省时、快捷,提高了设计效率。

目前,电子系统的EDA技术正从着眼与数字逻辑向模拟电路和数模混合电路的方向发展,21世纪是EDA技术飞速发展的时期,可以预见EDA技术将会对今后电子设计的发展产生重大的影响。

随着电子技术的发展,集成电路经历了从小规模、中规模到大规模和超大规模集成的过程,应用系统向小型化、快速化、大容量、重量轻的方向发展[5];数字系统的设计也已从芯片组合化设计走向单片系的设计。

随着微电子和计算机领域的原理创新、技术创新、应用创新层出不穷,许多特定功能的专用集成电路应用日益广泛,用户迫切希望根据自身设计要求自行构造逻辑功能的数字电路,复杂可编程逻辑器件 FPGA顺应了这一新的需要。

它能将大量逻辑功能集成于一个芯片中,其规模可达几十万或上百门以上,从而电子设计自动化EDA技术应运而生,它是电子产品及系统开发领域中一场革命性变革,EDA技术极大地推动了科学技术的发展[6]。

EDA技术的发展使硬件电路设计软件化,降低了硬件电路设计的难度,使设计周期和产品的开发时间缩短,设计更新变成了程序的修改,适应了千变万化的市场

潮流。

它的设计输入可以使用硬件描述语言(HDL),采用“自顶向下”的设计方法[7],为设计者提供了一个高效、便捷的设计环境,同时也为充分发挥设计人员的创造性提供了条件。

EDA技术主要应用于数字电路的设计,目前它在中国的应用多数是用在FPGA的设计中。

EDA技术是现代电子信息工程领域的一门新技术,它是在先进的计算机工作平台上开发出来的一套电子系统设计的软硬件工具,并提供了先进的电子系统设计方法[8]。

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。

在电子技术设计领域,可编程逻辑器件的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。

这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展[9]。

目前,电子系统的EDA技术正从着眼与数字逻辑向模拟电路和数模混合电路的方向发展,21世纪是EDA技术飞速发展的时期,EDA技术将会对今后电子设计的发展产生重大的影响[10]。

3开发工具简介

3.1VHDL语言简介

3.1.1VHDL发展史

VHDL语言诞生于1982年,翻译成中文就是超高速集成电路硬件描述语言。

最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。

1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。

自IEEE公布了VHDL的标准版本,IEEE-1076之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言[11]。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展 VHDL的内容,公布了新版本的VHDL,主要是应用在数字电路的设计中。

现在,VHDL和VerilogHDL作为IEEE的工业标准硬件描述语言,又得到众多 EDA公司的支持,在

电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为在新的世纪中,VHDL与Verilog语言将承担起大部分的数字系统设计任务[12]。

目前,它在中国的应用多数是用FPGA/CPLD的设计中。

VHDL主要用于描述数字系统的结构、行为、功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体[13]。

这种将设计实体分成内外部分的概念是 VHDL系统设计的基本点。

3.1.2VHDL设计特点

应用VHDL语言进行系统设计,有以下几方面的特点:

1)功能强大:

VHDL具有功能强大的语言结构。

它可以用明确的代码描述复杂的控制逻辑设计。

并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。

VHDL是一种设计、仿真和综合的标准硬件描述语言。

2)可移植性:

VHDL语言是一个标准语言,其设计描述可以为不同的EDA工具支持。

它可以从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台 [14]。

此外,通过更换库再重新综合很容易移植为ASIC设计。

3)独立性:

VHDL的硬件描述与具体的工艺技术和硬件结构无关。

设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。

程序设计的硬件目标器件有广阔的选择范围,可以是各系列的CPLD、FPGA及各种门阵列器件。

4)可操作性:

由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。

5)灵活性:

VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。

使其在任何大系统的设计中,随时可对设计进行仿真模拟。

所以,即使在远离门级的高层次,设计者就能够对整个工程设计的结构和功能的可行性进行查验,并做出决策。

3.1.3VHDL设计结构

VHDL描述数字电路系统设计的行为、功能、输入和输出。

它在语法上与现代编程语言相似,但包含了许多与硬件有特殊关系的结构。

VHDL将一个设计称为一个实体Entity(元件电路或者系统),并且将它分成外部的可见部分(实体名连接)和内部的隐藏部分(实体算法实现)。

当定义了一个设计的实体之后,其他实体可以利用该实体,也可以开发一个实体库。

所以,内部和外部的概念对系统设计的VHDL是十分重要的。

外部的实体名或连接由实体声明来描述。

而内部的实体算法或实现则由结构体来描述[15]。

结构体可以包含相连的多个进程或者组建等其他并行结构,需要说明的是它们在硬件中都是并行运行的。

3.1.4VHDL设计步骤

采用VHDL的系统设计,一般有

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 成人教育 > 自考

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1