数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx

上传人:b****1 文档编号:13282057 上传时间:2022-10-09 格式:DOCX 页数:28 大小:184.60KB
下载 相关 举报
数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx_第1页
第1页 / 共28页
数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx_第2页
第2页 / 共28页
数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx_第3页
第3页 / 共28页
数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx_第4页
第4页 / 共28页
数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx_第5页
第5页 / 共28页
点击查看更多>>
下载资源
资源描述

数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx

《数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx(28页珍藏版)》请在冰豆网上搜索。

数《字系统设计》数字式竞赛抢答器大学论文Word格式文档下载.docx

学号

承担任务

丁浩伟

1409131006

电路设计

万康

1409131026

郑林

1409131043

查找资料、绘制原理图

王天硕

1409131029

编写设计报告

设计目的

(1)了解抢答器的设计原理 

(2)掌握其外围电路的设计与主要性能参数测试方法 

(3)掌握抢答器的设计方法与电子线路系统的装调技术

设计要求

(1)设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。

(2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

(3)设置一个主持人“复位”按钮。

(4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。

(5)设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。

摘要

数字抢答器由主体电路与扩展电路组成。

优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;

用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。

通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。

经过布线、焊接、调试等工作后数字抢答器成形。

单片机体积小价格低,应用便,稳定可靠。

单片机将很多任务交给了软件编程去实现,大大简化了外围硬件电路,使外围电路的实现简单方便。

单片机系统的硬件结构给予了抢答系统“身躯”,而单片机的应用程序赋予了其新的“生命”,使其在传统的抢答器面前具有电路简单、成本低、运行可靠等特色。

对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。

抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。

选手们都站在同一个起跑线上,体现了公平公正的原则。

关键字:

抢答电路、定时电路、报警电路

目录

第1章电路原理与设计过程5

1.1电路的基本功能5

1.2、抢答器系统框图设计6

1.3、分支电路分析6

第2章系统单元模块设计7

2.1、计时模块7

2.1.1、计时模块原理图与分析7

2.1.2、计时器程序8

2.2、抢答器模块9

2.2.1、抢答器原理图与分析9

2.2.2、抢答器程序10

2.3、译码显示12

2.3.1译码原理图与分析12

2.3.2译码器程序13

2.4、记分模块13

2.4.1记分模块图与分析13

2.4.2记分器程序14

2.5、报警模块16

2.5.1、报警模块图与分析16

2.5.2、报警器程序17

2.6、电路整体图与程序18

2.6.1、整体电路图18

2.6.2、头程序18

第3章抢答电路的模拟仿真20

3.1、计时模块仿真20

3.2、抢答模块仿真20

3.3、译码模块仿真21

3.4、记分模块仿真22

3.5、报警模块仿真22

3.6、整体抢答电路仿真23

3.7、抢答系统电路分析及总图23

第4章课程设计总结25

附录26

第1章电路原理与设计过程

1.1电路的基本功能

(1)抢答器同时8名选手或8个代表队比赛,分别用8个按钮S1-S8表示。

(2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。

(3)抢答器具有锁存与显示功能。

即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在DPY_7-SEG七段数码管上显示选手号码。

选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

(4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。

当主持人启动"

开始"

键后,定时器进行减计时。

(5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

(6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00其工作原理为:

接通电源后,主持人将开关拨到"

清零"

状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;

主持人将开关置;

状态,宣布"

抢答器工作。

定时器倒计时,扬声器给出声响提示。

选手在定时时间内抢答时,抢答器完成:

优先判断、编号锁存、编号显示、扬声器提示。

当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。

如果再次抢答必须由主持人再次操作"

清除"

和"

状态开关。

1.2、抢答器系统框图设计

主电路扩展控制电路

图1-1系统框图

1.3、分支电路分析

此方案是由主体电路和扩展电路两部分构成,整个系统包括这样几个主要模块:

抢答鉴别模块、抢答计时模块、抢答计分模块、译码显示模块、报警模块。

主体电路完成基本的抢答功能,接通电源时,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;

抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。

然后由译码显示电路显示编号,扬声器发出短暂声响,提醒主持人注意。

扩展电路完成各选手的得分显示以及报警功能。

选手回答问题完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。

本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

系统的输入信号有:

各组的抢答按钮s0、s1、s2、s3。

系统清零信号CLR,系统时钟信号CLK,复位信号RST,加分按钮端ADD,计时中止信号stop;

计时十位和个位信号tb,ta。

系统的输出信号有:

四个组抢答状态的显示LEDx(x表示参赛者的编号),四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。

第2章系统单元模块设计

2.1、计时模块

2.1.1、计时模块原理图与分析

在这个模块中主要实现抢答过程中的计时功能,在有组别抢答后开始倒计时,若在规定时间没人回答,则超时报警。

其中有系统复位信号rst;

抢答使能信号s;

无人抢答警报信号warn;

计时中止信号stop;

图2-1计时模块图

图2-2计时模块内部电路

2.1.2、计时器程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityjsis

port(clk,rst,s,stop:

instd_logic;

warn:

outstd_logic;

ta,tb:

bufferstd_logic_vector(3downto0));

endjs;

architectureoneofjsissignalco:

std_logic;

begin

p1:

process(clk,rst,s,stop,ta)

begin

ifrst='

0'

orstop='

1'

then

ta<

="

0000"

;

elsifclk'

eventandclk='

co<

='

ifs='

ifta="

ta<

1001"

elseta<

=ta-1;

endif;

endprocessp1;

p2:

process(co,rst,s,stop,tb)

then

tb<

0010"

elsifco'

eventandco='

ifs='

iftb="

thentb<

0011"

elsetb<

=tb-1;

endif;

endprocessp2;

endone;

2.2、抢答器模块

2.2.1、抢答器原理图与分析

在这个模块中主要实现抢答过程中的抢答鉴别功能。

其电路框图如下。

其中,rst为复位信号,当该信号高电平有效时,电路无论处于何种状态都恢复为初始状态,即所有的输出信号都为0;

EN为抢答使能信号,该信号高电平有效;

s0、s1、s2、s3为抢答按钮,高电平时有效。

当使能信号EN为低电平时,如果有参赛者按下按钮,则提前抢答报警信号FALSE[3..0]的对应位输出高电平,以示警告;

当EN为高电平时,首先将提前抢答报警信号FALSE[3..0]复位清0,然后根据选手按下抢答按钮的先后顺序选择最先抢答的信号,其对应的抢答状态显示信号LEDA~LEDD输出高电平,抢答成功组别编号由信号states输出,并锁存抢答器此时的状态,直到清0信号有效为止。

在每一轮新的抢答之前,都要使用复位清零信号rst,清除上一轮抢答对判断电路留下的使用痕迹,使电路恢复初始状态。

图2-3抢答器图

图2-4抢答器内部电路

2.2.2、抢答器程序

entityqdjbis

port(rst:

EN:

s0,s1,s2,s3:

LEDA:

LEDB:

LEDC:

LEDD:

false:

outstd_logic_vector(3downto0);

states:

outstd_logic_vector(3downto0));

endqdjb;

architectureoneofqdjbis

signaltmp:

std_logic_vector(3downto0);

signaltag:

std_logic;

tmp<

=s0&

s1&

s2&

s3;

process(rst,EN,s0,s1,s2,s3,tmp)

THEN

states<

LEDA<

LEDB<

LEDC<

LEDD<

false<

tag<

elsifEN='

then

ifs0='

thenfalse(3)<

ifs1='

thenfalse

(2)<

ifs2='

thenfalse

(1)<

if

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1