习题3组合逻辑电路分析与设计数字电子技术含答案.docx

上传人:b****2 文档编号:12886587 上传时间:2023-04-22 格式:DOCX 页数:13 大小:173.16KB
下载 相关 举报
习题3组合逻辑电路分析与设计数字电子技术含答案.docx_第1页
第1页 / 共13页
习题3组合逻辑电路分析与设计数字电子技术含答案.docx_第2页
第2页 / 共13页
习题3组合逻辑电路分析与设计数字电子技术含答案.docx_第3页
第3页 / 共13页
习题3组合逻辑电路分析与设计数字电子技术含答案.docx_第4页
第4页 / 共13页
习题3组合逻辑电路分析与设计数字电子技术含答案.docx_第5页
第5页 / 共13页
点击查看更多>>
下载资源
资源描述

习题3组合逻辑电路分析与设计数字电子技术含答案.docx

《习题3组合逻辑电路分析与设计数字电子技术含答案.docx》由会员分享,可在线阅读,更多相关《习题3组合逻辑电路分析与设计数字电子技术含答案.docx(13页珍藏版)》请在冰豆网上搜索。

习题3组合逻辑电路分析与设计数字电子技术含答案.docx

习题3组合逻辑电路分析与设计数字电子技术含答案

组合逻辑电路分析与设计

数字电子技术

[题]分析图题所示电路,列出真值表,写出输出函数表达式,并说明电路的逻辑

功能。

解:

由电路图得真值表如下所示:

 

所以:

ApB时,Y11

AfB时,Y31

AB时,Y21

电路实现比较器的功能。

A,B是输入;Yi,Y2,Y3分别是AB时的输出。

[题]分析图题所示电路,说明电路的逻辑功能。

解:

电路的逻辑函数表达式为:

YSENASENB(EN1时);

Y输出高阻态(EN0时)

电路的逻辑功能是:

在使能条件EN=1且S=0时,输出A;在使能条件EN=1且S=1

时,输出B;使能条件EN=0时,输出高阻态。

电路实现数据选择器的功能。

[题]图题是一个密码锁控制电路。

开锁条件是必须将开锁开关闭合,且要拨对密

码。

如果以上两个条件都得到满足,开锁信号为1,报警信号为0,即锁打开而不报警。

否则,开锁信号为0,报警信号为1。

试分析该电路的密码是多少。

[题]图题所示电路由4位二进制比较器7485和4位二选一数据选择器74157组成。

其中74157控制端A/B的控制作用为:

A/B=0时,Y=A,否则,Y=B。

试分析图示电路的逻辑功能。

解:

当AB时,输出A;当AfB时,输出B;所以电路的功能是输出A,B中较小的数。

[题]某建筑物的自动电梯系统有五个电梯,其中三个是主电梯(设为A、BC),

两个备用电梯。

当上下人员拥挤,主电梯全被占用时,才允许使用备用电梯。

现需设计一个监控主电梯的逻辑电路,当任何两个主电梯运行时,产生一个信号(Yi),通知备用

电梯准备运行;当三个主电梯都在运行时,则产生另一个信号(Y2),使备用电梯主电源

接通,处于可运行状态。

请列出该电路的真值表,并写出Y1、Y2的逻辑表达式。

解:

分析题意得真值表如下:

所以:

YABCABCABC

笔ABC

[题]用与非门设计一个多数表决电路。

要求A、BC三人中只要有半数以上同意,则决议就能通过,但A还具有否决权,即只要A不同意,即使多数人也不能通过。

要求列出真值表,化简逻辑函数,并画出逻辑电路图。

⑶逻辑电路图如下图示:

解:

(1)分析题意得真值表如下:

(2)函数的逻辑表达式:

丫ABCABCABCACAB

ACABACAB

[题]用与非门设计一交通灯故障检测电路。

要求三色信号灯R、丫、G中有且只有

一灯亮,输出z=o,无灯亮或同时有两灯或两灯以上亮均为故障,输出Z=1。

要求列出真

值表,写出最简表达式,画出逻辑图。

RYGYGRYRG

[题]图题表示一热水器的水位情况,虚线表示水位,A、BC电极被水浸没时会有信号输出。

水面在C、B间时为正常状态,绿灯G亮;水面在BA间或在C以上时为异常状态,黄灯Y亮;水面在A以下时为危险状态,红灯R亮。

试用SSI器件实现该逻辑功能的电路。

 

解:

(1)设对于水位A、BC浸没状态为1,露出状态为0,分析题意得真值表如下:

⑶逻辑电路图如下图示:

——

(2)化简后函数的逻辑表达式:

[题]用3线-8线译码器芯片

GBC

YABC

RA

74LS138和必要的门电路实现下列逻辑函数:

 

(1)Y(A,B,C)m(0,3,6)

(2)YABC

(3)Y(A,B,C)M(1,2,3,7)

解:

(1)Y(A,B,C)m(0,3,6)mom3m6m0m3m6

(2)YABCABCaBcABCABCm(1,2,4,7)

[题]用8选一数据选择器实现逻辑函数。

(1)Y(A,B,C)m(0,1,2,6,7)

(2)YACABCABC

(3)Y(A,B,C,D)m(0,3,4,6,8,9)(10,11,12,13,14,15)

解:

(1)Y(A,B,C)m(0,1,2,6,7)

(2)YACABCABC

m(1,2,5,7)

H

q

u

1

1

Il.

*—

h

h

(3)

1—

*—

b

Y(A,B,C,D)m(0,3,4,6,8,9)(10,11,12,13,14,15)

函数真值表如下:

BC。

[题]试用4选一数据选择器实现逻辑函数YABCAC

解:

YABCACBC

m(0,2,3,4,7)

电路图如下所示:

 

 

[题]用1片74283实现将余3码转换为8421BCD码的电路。

解:

8421BCD码相当于余3码减3,也即余3码加-3的补码1101。

[题]试用两片4位二进制数加法器74283和必要的门电路组成一个实现两个1位

十进制数的8421BCD码相加的加法器。

(提示:

根据8421BCD码的加法运算规律,当两数之和小于或等于9时,相加的结果与按二进制数相加所得到的结果一样。

而当两数之和大于9时,则应在按二进制数相加的结果上加6校正。

解:

二进制和8421码和数如下图所示:

解:

8421BCD码和余3码之间的关系用真值表表示如下:

 

所以:

Ym(5,6,7,8,9)

Ym(1,2,3,4,9)

匕m(0,3,4,7,8)

、4m(0,2,4,6,8)

ROM车列图如下所示:

1■

u

彳1

T1「

r1l

r—-

iih

FI1~

1

f

IX

jkJL

峙r

f

r、

r

111

」」L

—>

KJ

L1

r丄L

/

F

LJ

*/L

舁1f

J

L

E

I

F

』卜

■F

ji-L

4i-

F

J

f

Jk」L

J

I

r%

k/卜

1>舁

J?

【J

I

[题]画出与以下实体描述对应的元件符号。

(1)

--三态缓冲器实体

--输入端

--输入端

--输出端

四选一数据选择器实体

ENTITYbuf3sIS

PORT(x:

INSTD_LOGIC;ena:

INSTD_LOGIC;y:

OUTSTD_LOGIC);

ENDENTITYbuf3s;

(2)ENTITYmux41aIS--

PORT(in0,in1,in2,in3:

INSTD_LOGIC;--数据输入端

sel:

INSTD_LOGIC_VECTOR(1DOWNTO0)--地址输入

y:

OUTSTD_LOGIC);--输出端

ENDENTITYmux41a;

解:

(1)

(2)

 

[题]阅读例3.3.1,试编写一个实现变量AB相异或的VHDL源程序。

解:

ENTITYorgateIS

PORT(a,b:

INBIT;y:

OUTBIT);

ENDENTITYorgate;ARCHITECTUREoneOForgateISBEGIN

y<=aXORb;

ENDARCHITECTUREone;

[题]试编写一个实现半加器的VHDL源程序。

解:

ENTITYorgateIS

PORT(a,b:

INBIT;S,G:

OUTBIT);

ENDENTITYorgate;

ARCHITECTUREoneOForgateIS

BEGIN

S<=aXORb;

G<=aANDb;

ENDARCHITECTUREone;

[题]逻辑电路如图题所示,若图中门电路的延时均为5ns,试根据A的输入波形,

画出丫1和Y的波形。

解:

Yi和Y的波形的波形图如图所示:

[题]逻辑电路如图题所示,判断该电路是否存在冒险现象,如果存在,请通过修改逻辑设计消除冒险现象。

解:

分析电路得逻辑函数表达式:

YABBCCDABBCCD

当AC11时,YBB;

当BD00时,YCC;

所以电路存在冒险现象。

如果通过修改逻辑设计消除冒险现象,就加上冗余项。

结果是:

YABBCCDACBD

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 小学教育 > 小升初

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1