电梯控制系统的设计与实现数字逻辑课程设计.docx

上传人:b****5 文档编号:11641657 上传时间:2023-03-29 格式:DOCX 页数:40 大小:738.29KB
下载 相关 举报
电梯控制系统的设计与实现数字逻辑课程设计.docx_第1页
第1页 / 共40页
电梯控制系统的设计与实现数字逻辑课程设计.docx_第2页
第2页 / 共40页
电梯控制系统的设计与实现数字逻辑课程设计.docx_第3页
第3页 / 共40页
电梯控制系统的设计与实现数字逻辑课程设计.docx_第4页
第4页 / 共40页
电梯控制系统的设计与实现数字逻辑课程设计.docx_第5页
第5页 / 共40页
点击查看更多>>
下载资源
资源描述

电梯控制系统的设计与实现数字逻辑课程设计.docx

《电梯控制系统的设计与实现数字逻辑课程设计.docx》由会员分享,可在线阅读,更多相关《电梯控制系统的设计与实现数字逻辑课程设计.docx(40页珍藏版)》请在冰豆网上搜索。

电梯控制系统的设计与实现数字逻辑课程设计.docx

电梯控制系统的设计与实现数字逻辑课程设计

电梯控制系统的设计与实现数字逻辑课程设计

数字电路与逻辑设计

课程设计报告

题目:

电梯控制器的设计与实现

专业:

班级:

学号:

姓名:

电话:

邮件:

分组:

完成日期:

指导教师:

团队成员:

姓名

班级

学号

贡献百分比

实验部分完成情况

(亮点、完成、

基本完成、未完成、时间)

总分:

实验部分70%+报告30%)

检查老师签名:

 

1课程设计概述.........................................................................................................................................

1.1课设目的............................................................................................................................

1.2课设要求............................................................................................................................

1.3课设任务............................................................................................................................

1.4实验环境............................................................................................................................

2基本方案设计.........................................................................................................................................

2.1设计需求............................................................................................................................

2.2总体结构设计....................................................................................................................

2.2.1电梯状态判断模块..........................................................................................................

2.2.2电梯上下楼控制模块......................................................................................................

2.2.3电梯开关门模块..............................................................................................................

2.2.4电梯升降模块..................................................................................................................

2.2.5电梯指示灯模块..............................................................................................................

2.2.6电梯数码管显示模块......................................................................................................

2.3详细设计............................................................................................................................

2.3.1电梯状态判断模块..........................................................................................................

2.3.2电梯上下楼控制模块....................................................................................................

2.3.3电梯开关门模块............................................................................................................

2.3.4电梯升降模块................................................................................................................

2.3.5电梯指示灯模块............................................................................................................

2.3.6电梯数码管显示模块....................................................................................................

2.3.7实验过程与调试..............................................................................................................

2.3.8真结果........................................................................................................................

2.3.9主要故障与调试............................................................................................................

1课程设计概述

1.1课设目的

通过硬件描述语言VHDL的编程,深入了解并掌握可编程芯片PLD的设计技术,加强学生对《数字逻辑》课程所学知识综合利用的能力。

培养学生创造性思维能力和独立解决实际问题的能力。

1.2课设要求

(1)能够全面地应用课程中所学的基本理论和基本方法,完成从设计逻辑电路到设计简单数字系统的过渡。

(2)能力独立思考、独立查阅资料,独立设计规定的系统。

(3)能够独立地完成实施过程,包括安装、布线、测试和排除故障。

1.3课设任务

(1)制定出详细设计方案;

(2)通过VerilogHDL完成规定的设计任务,然后进行编译和仿真,保证设计的正确性;

(3)生成容丝图文件,下载到Basys2开发板,通过实际线路进行验证;

(4)对复杂系统的设计采取模块化、层次化的设计方法;

(5)撰写设计报告,并对存在的问题进行分析、提出改进意见。

1.4实验环境

(1)Basys2开发板(芯片为XC3S100E,封装为CP132)1套;

(2)Xilinx_ISE设计仿真软件1套;

(3)计算机1台。

2基本方案设计

2.1设计需求

随着科学技术的发展以及城市化建设,越来越多的高楼林立在城市之间,对于电梯的需求也愈发强烈。

因此,一部安全可靠的电梯逐渐成为人们热议的话题,而我们要设计的电梯控制系统,能实现各种用户需求,并且安全可靠,足以适应当前社会发展的要求。

2.2总体结构设计

本电梯控制器系统包含:

1)电梯状态判断模块

2)电梯上下楼控制模块

3)电梯开关门模块

4)电梯升降模块

5)电梯指示灯模块

6)电梯数码管显示模块

共六个模块。

电梯分为以下四个状态:

1)关门等待状态

i.此时,电梯门关闭且停在某一楼,等待用户请求。

2)电梯上行状态

i.电梯此刻处于向上运行状态。

3)电梯下行状态

i.电梯此刻处于向下运行状态。

4)开门状态

i.此时,电梯门打开,同时进行关门倒计时。

2.2.1电梯状态判断模块

该模块用于获取电梯此刻的状态,并根据用户请求进行相应状态转变。

输入:

openButton——电梯开门按键

powerButton——电梯电源按键

targetFloor——用户请求的目标楼层

输出:

liftState——电梯状态

2.2.2电梯上下楼控制模块

该模块用于获取用户请求,判断电梯是否到达目标楼层以及进行计时器设置。

输入:

powerButton——电梯电源键

resetButton——电梯重置按键

infloorButton1——电梯内部1楼按键

infloorButton3——电梯内部3楼按键

infloorButton6——电梯内部6楼按键

infloorButton8——电梯内部8楼按键

outfloorButton1——电梯外部1楼按键

outfloorButton3——电梯外部3楼按键

outfloorButton6——电梯外部6楼按键

outfloorButton8——电梯外部8楼按键

liftState——电梯状态输出:

targetFloor——目标楼层

clkFloor——电梯楼层间运行速率

clkLight——电梯运行灯

runTime——电梯运行时间

2.2.3电梯开关门模块

该模块用于处理用户开关门请求并进行关门倒计时

输入:

powerButton——电梯电源按键openButton——电梯开门按键closeButton——电梯关门按键

liftState——电梯状态

输出:

doorTime——关门时间

doorState——电梯门状态

doorLight——电梯门灯

2.2.4电梯升降模块

该模块进行电梯上升、下降处理

输入:

liftState——电梯状态

输出:

currentFloor——当前楼层

2.2.5电梯指示灯模块

该模块用于电梯运行时,控制上行灯、下行灯亮与灭

输入:

liftState——电梯状态

输出:

upLight——电梯上行灯

ownLight——电梯下行灯

runState——电梯运行状态

2.2.6电梯数码管显示模块

该模块用于显示电梯运行时间、关门时间、当前楼层

输入:

currentFloor——当前楼层

runTime——运行时间

doorTime——关门时间

输出:

eightDecode——八段数码显示管

sevenF、sevenJ、sevenM、sevenK——数码扫描管显示

2.2.7总控制模块

结合个子模块,共同实现电梯功能

 

2.3详细设计

顶层模块——将各个子模块有机结合起来,以实现各个功能

2.3.1电梯状态判断模块

本模块用于处理电梯各种运行状态并进行设置

2.3.2电梯上下楼判断模块

本模块用于处理用户楼层请求、以及判断是否到达目标楼层

2.3.3电梯开关门模块

2.3.4电梯升降模块

2.3.5电梯指示灯模块

本模块用于指示电梯上下运行状态

2.3.6电梯数码管显示模块

2.4实验过程与调试

2.4.1模块内部图

1、主模块

输入:

clk:

时钟端

powerButton:

电源开关resetButton:

重置开关

openButton:

强制开门

closeButton:

强制关门infloorButton1:

一楼内部开关infloorButton3:

三楼内部开关infloorButton6:

六楼内部开关infloorButton8:

八楼内部开关outfloorButton1:

一楼外部开关outfloorButton3:

三楼外部开关outfloorButton6:

六楼外部开关outfloorButton8:

八楼外部开关

输出:

eightDecode:

七段显示译码器flashLight:

电梯运行闪烁灯doorLight:

开门显示灯

upLight:

上升指示灯

floorLight1:

一楼指示灯

floorLight3:

三楼指示灯

floorLight6:

六楼指示灯

floorLight8:

八楼指示灯

sevenF,sevenJ,sevenM,sevenK:

数码管扫描指示

2.4.2仿真结果

1、电梯状态判断模块仿真文件如下:

moduletest;

//Inputsregclk;regpowerButton;regresetButton;regopenButton;

regcloseButton;reginfloorButton1;reginfloorButton3;reginfloorButton6;reginfloorButton8;regoutfloorButton1;regoutfloorButton3;regoutfloorButton6;regoutfloorButton8;

//Outputswire[7:

0]eightDecode;wireflashLight;wiredoorLight;wireupLight;wiredownLight;wirefloorLight1;wirefloorLight3;wirefloorLight6;wirefloorLight8;wiresevenF;wiresevenJ;wiresevenM;wiresevenK;

alwaysbegin

#5;

clk=~clk;

end

always

begin

#5;

infloorButton1=~infloorButton1;

end

always

begin

#10;

outfloorButton3=~outfloorButton3;

end

always

begin

#15;

outfloorButton6=~outfloorButton6;

end

always

begin

#20;

infloorButton8=~infloorButton8;end

initialbegin//InitializeInputsclk=0;powerButton=1;resetButton=0;openButton=0;closeButton=0;infloorButton1=0;infloorButton3=0;infloorButton6=0;infloorButton8=0;

outfloorButton1=0;outfloorButton3=0;outfloorButton6=0;outfloorButton8=0;end

endmodule

仿真结果:

2、电梯上下楼判断模块仿真文件如下:

moduletest;

//Inputsregclk;regpowerButton;regresetButton;regopenButton;regcloseButton;reginfloorButton1;

reginfloorButton3;reginfloorButton6;reginfloorButton8;regoutfloorButton1;regoutfloorButton3;regoutfloorButton6;regoutfloorButton8;

//Outputswire[7:

0]eightDecode;wireflashLight;wiredoorLight;wireupLight;wiredownLight;wirefloorLight1;wirefloorLight3;wirefloorLight6;wirefloorLight8;wiresevenF;wiresevenJ;wiresevenM;wiresevenK;

alwaysbegin

#5;

clk=~clk;end

alwaysbegin

#5;

infloorButton1=~infloorButton1;

end

always

begin

#15;

outfloorButton3=~outfloorButton3;

end

always

begin

#25;

outfloorButton6=~outfloorButton6;

end

always

begin

#35;

infloorButton8=~infloorButton8;

end

initialbegin//InitializeInputsclk=0;powerButton=1;resetButton=0;openButton=0;closeButton=0;infloorButton1=0;infloorButton3=0;infloorButton6=0;infloorButton8=0;outfloorButton1=0;outfloorButton3=0;outfloorButton6=0;outfloorButton8=0;

end

endmodule

仿真结果:

3、电梯开关门模块仿真文件如下:

moduletest;//Inputsregclk;regpowerButton;regresetButton;regopenButton;regcloseButton;reginfloorButton1;reginfloorButton3;reginfloorButton6;reginfloorButton8;regoutfloorButton1;regoutfloorButton3;

regoutfloorButton6;regoutfloorButton8;

//Outputswire[7:

0]eightDecode;wireflashLight;wiredoorLight;wireupLight;wiredownLight;wirefloorLight1;wirefloorLight3;wirefloorLight6;wirefloorLight8;wiresevenF;wiresevenJ;wiresevenM;wiresevenK;

alwaysbegin

#5;

clk=~clk;endalwaysbegin

#8;

openButton=~openButton;end

always#7closeButton=~closeButton;always#50infloorButton8=~infloorButton8;

initialbegin

//InitializeInputsclk=0;

powerButton=1;resetButton=0;openButton=0;closeButton=0;infloorButton1=0;infloorButton3=0;infloorButton6=0;infloorButton8=0;outfloorButton1=0;outfloorButton3=0;outfloorButton6=0;outfloorButton8=0;

//Wait100nsforglobalresettofinish

//Addstimulushereendendmodule

仿真结果:

4、电梯升降模块仿真文件如下:

module

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1