基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx

上传人:b****1 文档编号:1120801 上传时间:2022-10-17 格式:DOCX 页数:27 大小:1.04MB
下载 相关 举报
基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx_第1页
第1页 / 共27页
基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx_第2页
第2页 / 共27页
基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx_第3页
第3页 / 共27页
基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx_第4页
第4页 / 共27页
基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx_第5页
第5页 / 共27页
点击查看更多>>
下载资源
资源描述

基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx

《基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx》由会员分享,可在线阅读,更多相关《基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx(27页珍藏版)》请在冰豆网上搜索。

基于fpga的出租车计费系统设计职业学院物联网应用大学论文.docx

基于fpga的出租车计费系统设计职业学院物联网应用大学论文

毕业设计论文

基于FPGA的出租车计费系统设计

顾玮

指导老师名称:

王小娟

专业名称:

物联网应用技术

班级学号:

物联14214841113

论文提交日期:

2016年11月28日

论文答辩日期:

2016年11月28日

2016年11月28日

 

摘要

车租车是现在人们出行方式中必不可缺少的方式之一,从上世纪八九十年开始出租车行业迅速发展出租车计费器就面临着巨大挑战,出租车计费器的性能也不断提升,EDA技术的发展和FPGA的发展让电子产品设计发生了巨大改变,不仅成本低,周期短,可靠性高,给人们的设计带来了诸多方便。

FPGA,又名现场可编程门阵列,是第四代可编程逻辑器件。

FPGA运用LCA逻辑单元阵列,包括可配置逻辑模块CLB、输入输出模块IOB和内部连线这三块。

FPGA实现组合逻辑,每个查找表链接一个D触发器的输入端,触发器驱动其他逻辑电路或驱动I/O,这样就构成了可实现组合逻辑功能又实现了时序逻辑功能的基本逻辑单元模块,然后利用金属连线相互连接到I/O模块。

FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能,FPGA可以无限次的编程。

我们的作品实现出租车按照行驶的路程来计算所属要付的钱,用相应的硬件和软件来实现出租车计费器的可行性。

[关键词]:

出租车计价器FPGAQuartusII软件VHDL语言

 

ABSTRACT

Carrentalcarisnowthewaypeopletraveloneoftheindispensableway,fromtheeightiesandninetyyearsofthelastcenturytherapiddevelopmentofthetaxiindustrytaxicounterisfacingenormouschallenges,taximeterperformanceisalsorising,EDAtechnologydevelopmentandthedevelopmentofFPGAelectronicproductdesignhasundergonetremendouschanges,notonlylowcost,shortcycle,highreliability,tothepeople'sdesignhasbroughtalotofconvenience.

FPGA,thefieldprogrammablegatearray,isthefourthgenerationofprogrammablelogicdevices.FPGAusesthelogiccellarrayLCAsuchaconcept,includingtheconfigurablelogicmoduleCLB,IOBinputandoutputmodulesandinternalwiringthreedepartments.FPGAtoachievecombinationallogic,eachlook-uptablelinkedtoaDflip-flopinput,flip-flopandthendrivetheotherlogicordriveI/O,whichconstitutesbothacombinationoflogicfunctionscanachievethebasicfunctionsoflogictiminglogicLogicunitmodules,whichareinterconnectedorconnectedtoI/Omodulesusingmetalwiring.ThelogicofanFPGAisimplementedbyloadingprogrammingdataintoaninternalstaticmemorycell.ThevaluestoredinthememorycelldeterminesthelogicalfunctionofthelogiccellandhowitisconnectedbetweenthemodulesorI/O.FPGAcanachievethefunction,FPGAallowsunlimitedprogramming.

Ourworktoachieveataxiinaccordancewiththedistancetraveledtocalculatethemoneytheyhavetopay,withtheappropriatehardwareandsoftwaretoachievethefeasibilityofataximeter.

[Keywords]:

taximeterQuartusIIsoftwareFPGAVHDLlanguage

 

目录

摘要1

ABSTRACT2

第一章绪论2

1.1课题背景2

1.2课题现状2

1.3课题目的2

第二章设计内容介绍3

2.1设计要求3

2.2设计思路3

2.3CycloneIV芯片介绍4

2.4VHDL语言介绍4

2.5QuartusII软件介绍4

第三章QuartusII使用方法6

3.1启动QuartusII软件6

3.2新建工程6

3.3文件新建8

图3.33编程界面9

3.4编译程序9

3.5生成模块9

3.6建立原理图文件10

图3.64原理图11

3.7编译原理图11

3.8管脚锁定11

3.9重新编译原理图13

3.10下载程序14

第四章程序与实验现象15

4.1程序说明15

4.11分频模块:

15

4.12车速控制模块16

4.13里程计数模块18

4.14计费计数模块18

4.15动态扫描模块19

4.2实验测试结果图22

结束语23

致谢24

参考文献25

第一章绪论

1.1课题背景

随着人们生活条件的提升,出行方式的多样性,出租车的市场也越来越大,对计费的要求也越来越高。

集成电路和计算机的飞速发展,数字系统也得到发展。

设计方法经历了很多个版本。

为提高系统的可靠性与通用性,尤其是FPGA逻辑器件大量被人们使用到出租车计费当中。

出租车消费群体的增大,广大乘客也对计费器的功能提出了更多的要求。

顾客不仅要求计费器的稳定性,计算费用的精确,有防作弊功能;同事要求可以打印发票,IC卡付费、语音报话、电脑串行通信及税控等功能。

地区与地区之间的收费都是不一样的,车型不同收费也不一样。

油价的波动或者经济发展的影响,收费方式和收费标准也有可能不断在变化。

这时候传统单片机的出租车计费器系统已经满足不了这些需求的变化了。

硬件电路复杂功能升级也很繁琐,在运营过程中也很容易产生干扰,影响用户的使用。

基于FPGA的出租车计费器,结合了硬件描述语言VHDL和逻辑结合为基础的项目向下的电路设计方法,成本投入较低,可靠性高,通用性也比较强;同时也可以添加其他功能。

出租车计费器采用软件编辑的形式,可以完成多种计费形式,使用的芯片不仅容量大,体积也比较小巧。

计费器不仅在现代有着较强的应用性,同时在未来市场中的也有很大的发展前景。

1.2课题现状

随着我国经济发展及道路建设,交通也越来越方便,特别是出租车,以迅速,方便的特点盛行在各个城市,成为城市交通出行不可缺少的一部分。

出租车计费器也一直换了好几个版本,老式的出租车计费器已经不可以代替现在的社会发展了。

在此情况下,出租车计费器也在不断地更新,计费器的性能、稳定性等都在不断地提升,同时增加许多附加功能使计费器更加完善,更好的融入社会的发展。

1.3课题目的

出租车计费器是顾客和出租车司机交易的工具,计费器是发展出租车重要体现。

良好的出租车计费器对司机和顾客都是很重要的,计费器就有了很重要的发展意义,只有好的计费器才可以适用于社会,也可以让广大消费者所接受。

我们的课题研究是通过FPGA来实现出租车的里程、计费。

第二章设计内容介绍

2.1设计要求

用VHDL设计出租车计费器,并且设计电路,来实现计费、预置功能、能模拟汽车启动、停止、暂停、车速等并在数码管上显示。

a.车辆起步价为7元,车辆行驶在3公里以内,只收7元。

b.车辆行驶超过3公里。

每公里加收2.2元(在7元基础上每公里加收2.2元),车费依次累加。

c.车费达到20元时,每公里加收3.3元。

车辆中途停车不收费,车辆停止(顾客下车)车费自动清零,等待下次计费。

2.2设计思路

本次毕业设计基于FPGA的出租车计费系统设计一共包括分频模块、车速控制模块、里程计数模块、计费计数模块、动态扫描模块。

设计框图如下图2-1所示。

图2-1设计框图

我们队不同型号的车辆,不同型号车的直径做了不同的设计,比如同样行驶100米,所对应的行驶圈数也不同,车速选择开关k[1]、k[0]的选择也就不同,一共有00、01、10、11四种选择:

当车速选择开关k[1]、k[0]为00时,车轮直径为520mm,对应的计费圈数为61;

当车速选择开关k[1]、k[0]为01时,车轮直径为540mm,对应的计费圈数为60;

当车速选择开关k[1]、k[0]为10时,车轮直径为560mm,对应的计费圈数为57;

当车速选择开关k[1]、k[0]为11时,车轮直径为580mm,对应的计费圈数为55;

2.3CycloneIV芯片介绍

Cyclone IV为市场提供成本最低、功耗最低并具有收发器的FPGA。

CycloneIVFPGA系列适合对成本敏感的大批量应用,满足越来越大的带宽需求,同时降低了成本。

该系列包括:

具有8个集成3.125-Gbps收发器的CycloneIVGXFPGA

适用于多种通用逻辑应用的CycloneIVEFPGA

CycloneIV特点:

低成本、低功耗的FPGA架构:

6K到150K的逻辑单元

高达6.3Mb的嵌入式存储器

高达360个18X18乘法器,实现DSP处理密集型应用

协议桥接应用,实现小于1.5W的总功耗

2.4VHDL语言介绍

VHDL语言主要用于描述数字系统结构,行为,功能和接口,除了具有硬件特征以外,VHDL语言样式和风格也类似一般计算机高级语言,VHDL语言有如下特征:

1.VHDL语言功能强大,设计方式多

2.VHDL语言具有强大的硬件描述功能

3.VHDL语言具有强大的移植能力

4.VHDL语言描述与器件无关

5.VHDL语言程序易于共享,复用

2.5QuartusII软件介绍

QuartusII是PLD和FPGA开发软件,原理图、VHDL等多种设计输入形式,QuartusII里有综合器和仿真器,可以完成完整的设计流程。

QuartusII特性如下:

1.功能强大的逻辑综合工具

2.完备的电路功能仿真和时序逻辑仿真

3.定时和时序分析与关键路径延时分析

4.自动定位编译错

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1