高山流水音乐设计.docx

上传人:b****7 文档编号:10601086 上传时间:2023-02-21 格式:DOCX 页数:20 大小:192.64KB
下载 相关 举报
高山流水音乐设计.docx_第1页
第1页 / 共20页
高山流水音乐设计.docx_第2页
第2页 / 共20页
高山流水音乐设计.docx_第3页
第3页 / 共20页
高山流水音乐设计.docx_第4页
第4页 / 共20页
高山流水音乐设计.docx_第5页
第5页 / 共20页
点击查看更多>>
下载资源
资源描述

高山流水音乐设计.docx

《高山流水音乐设计.docx》由会员分享,可在线阅读,更多相关《高山流水音乐设计.docx(20页珍藏版)》请在冰豆网上搜索。

高山流水音乐设计.docx

高山流水音乐设计

EDA课程设计报告

高山流水音乐设计

 

学校:

阜阳师范学院

学院:

物电学院

班级:

08电子一班

成员及学号:

李泽:

(200840620116)

李鹏:

()

张程:

()

吴浩:

()

侯进:

()

陈玉飞:

()

指导教师:

陈卫兵

撰写日期:

2010.12.12

目录

第一章概述3

第二章乐曲演奏电路原理.....................4

2.1音乐演奏电路原理..................4

2.2音符频率的获得4

2.3乐曲节奏的控制4

2.4乐谱发生器5

2.5乐曲演奏电路原理框图5

章三章音乐硬件演奏电路的设计实现7

3.1各个模块的功能简介7

3.2地址发生器模块7

3.3分频预置数模块8

3.4数控分频模块10

3.5music模块13

3.6顶层文件17

第四章扩大乐曲硬件演奏电路的通用性........20

4.1完善分频预置数模块的功能.............20

4.2更改乐曲.............................23

第五章设计总结与心得体会...................24

第一章概述

  乐曲演奏广泛用于自动答录装置、手机铃声、集团电话、及智能仪器仪表设备。

实现方法有许多种,随着FPGA集成度的提高,价格下降,EDA设计工具更新换代,功能日益普及与流行,使这种方案的应用越来越多。

如今的数字逻辑设计者面临日益缩短的上市时间的压力,不得不进行上万门的设计,同时设计者不允许以牺牲硅的效率达到保持结构的独特性。

使用现今的EDA软件工具来应付这些问题,并不是一件简单的事情。

FPGA预装了很多已构造好的参数化库单元LPM器件。

通过引入支持LPM的EDA软件工具,设计者可以设计出结构独立而且硅片的使用效率非常高的产品。

本课设在EDA开发平台上利用VHDL语言设计数控分频器电路,利用数控分频的原理设计乐曲硬件演奏电路,并定制LPM-ROM存储音乐数据,以“梁祝”乐曲为例,将音乐数据存储到LPM-ROM,就达到了以纯硬件的手段来实现乐曲的演奏效果。

只要修改LPM-ROM所存储的音乐数据,将其换成其他乐曲的音乐数据,再重新定制LPM-ROM,连接到程序中就可以实现其它乐曲的演奏。

第二章乐曲演奏电路原理

2.1音乐演奏电路原理

先介绍一下硬件电路的发声原理。

声音的频谱范围约在几十到几千赫兹,若能利用程序来控制FPGA某个引脚输出一定频率的矩形波,接上扬声器就能发出相应频率的声音。

乐曲中的每一音符对应着一个确定的频率,要想FPGA发出不同音符的音调,实际上只要控制它输出相应音符的频率即可。

乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频,就可以在扬声器上连续地发出各个音符的音调。

而要准确地演奏出一首乐曲,仅仅让扬声器能够发生是不够的,还必须准确地控制乐曲的节奏,即乐曲中每个音符的发生频率及其持续时间是乐曲能够连续演奏的两个关键因素。

2.2音符频率的获得

多个不同频率的信号可通过对某个基准频率进行分频器获得。

由于各个音符的频率多为非整数,而分频系数又不能为小数,故必须将计算机得到的分频系数四舍五入取整。

若基准频率过低,则分频系数过小,四舍五入取整后的误差较大。

若基准频率过高,虽然可以减少频率的相对误差,但分频结构将变大。

实际上应该综合考虑这两个方面的因素,在尽量减少误差的前提下,选取合适的基准频率。

本文中选取750KHz的基准频率。

由于现有的高频时钟脉冲信号的频率为12MHz,故需先对其进行16分频,才能获得750KHz的基准频率。

对基准频率分频后的输出信号是一些脉宽极窄的尖脉冲信号(占空比=1/分频系数)。

为提高输出信号的驱动能力,以使扬声器有足够的功率发音,需要再通过一个分频器将原来的分频器的输出脉冲均衡为对称方波(占空比=1/2),但这时的频率将是原来的1/2。

由于最大分频系数是1274,故分频器采用11位二进制计数器能满足要求,乐曲中的休止符,只要将分频系数设为0,即初始值=211-1=2047,此时扬声器不会发声。

2.3乐曲节奏的控制

本课设的梁祝乐曲,最小的节拍为1/4拍,若将1拍的时间定为1秒,则只需要提供一个4Hz的时钟频率即可产生1/4拍的时长(0.25秒),对于其它占用时间较长的节拍(必为1/4拍的整数倍)则只需要将该音符连续输出相应的次数即可。

计数时钟信号作为输出音符快慢的控制信号,时钟快时输出节拍速度就快,演奏的速度也就快,时钟慢时输出节拍的速度就慢,演奏的速度自然降低。

2.4乐谱发生器

本文将乐谱中的音符数据存储在LPM-ROM中,如“梁祝”乐曲中的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即1秒的时间,相应地,音符“3”就要在LPM-ROM中连续的四个地址上都存储。

当一个4Hz的时钟来时,相应地就从LPM-ROM中输出一个音符数据。

2.5乐曲演奏电路原理框图

当一个4Hz的时钟脉冲来到时,乐谱发生器模块输出一个音符数据给分频系数模块,分频系数模块输出此音符相应的分频系数,将分频系数送给数控分频器模块,当12MHz的时钟脉冲来到时,数控分频器就根据分频系数输出相应的频率(即此音符所对应的发生频率)给扬声器,扬声器就可发出对应音符的声音来.连续的4Hz的时钟脉冲就将乐谱发生器里所存储的音符数据一个接一个的送给了分频系数模块,再经过数控分频模块,最后扬声器一个接一个的发出音符数据所对应的声音来。

曲子也就流畅的播放出来了.综上所述,得到乐曲演奏电路的原理框图如图1所示

图1

第三章音乐硬件演奏电路的设计实现

音乐硬件演奏电路主要是用VHDL语言来设计,并利用QuartusII软件工具来编译、测试和仿真。

3.1各个模块的功能简介

根据顶层原理图,共分为地址发生器模块、分频预置数模块、数控分频模块这三个模块。

而music模块是存放乐曲中的音符数据,在我们的顶层原理图中并没有显示出来,地址发生器模块作为music模块中所定制的音符数据ROM的地址发生器,分频预置数模块提供分频预置数即给数控分频模块提供计数初值。

数控分频模块根据分频预置数输出各个音符所对应的频率。

3.2地址发生器模块

地址发生器模块设置了一个8位二进制计数器(计数最大值为138),作为音符数据ROM的地址发生器。

每来一个时钟脉冲信号(Clk),8位二进制计数器就计数一次,ROM文件中的地址也就随着递增,音符数据ROM中的音符也就一个接一个连续的取出来了。

3.2.1地址发生器的VHDL设计

这个计数器的计数频率选为4Hz,即每一计数值的停留时间为0.25秒,恰为当全音符设为1秒,四四拍的4分音符持续时间。

例如,地址发生器在以下的VHDL逻辑描述中,“梁祝”乐曲的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即1秒时间。

那么相应随着程序中的计数器按4Hz的时钟频率作加法计数时,即随地址递增时,将从音符数据ROM中将连续取出4个音符“3”通过toneindex[3..0]端口输向分频预置数模块。

这样梁祝乐曲中的音符就一个接一个的通过toneindex[3..0]端口输向分频预置数模块。

程序如下

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYNoteTabsIS

PORT(clk:

INSTD_LOGIC;

ToneIndex:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));

END;

ARCHITECTUREoneOFNoteTabsIS

COMPONENTMUSIC

PORT(address:

INSTD_LOGIC_VECTOR(7DOWNTO0);

inclock:

INSTD_LOGIC;

q:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));

ENDCOMPONENT;

SIGNALCounter:

STD_LOGIC_VECTOR(7DOWNTO0);

BEGIN

CNT8:

PROCESS(clk,Counter)

BEGIN

IFCounter=138THENCounter<="00000000";

ELSIF(clk'EVENTANDclk='1')THENCounter<=Counter+1;

ENDIF;

ENDPROCESS;

u1:

MUSICPORTMAP(address=>Counter,q=>ToneIndex,inclock=>clk);

ENDone;

程序的功能是每来一个时钟,地址值递增1,并将这个地址上所存储的音符数据输出。

当Clk来了一个时钟脉冲,输出相应地址上的音符3,地址值递增1;接下来的三个时钟脉冲来时,输出也是音符3,地址连续递增3次;再下一个脉冲来时,输出为音符5等等都符合模块中的音符数据文件中的地址/数据表。

3.3分频预置数模块

分频预置数模块是乐曲简谱码对应的分频预置数查表电路。

它提供了每个音符所对应的分频预置数,即给数控分频模块提供计数初值,以“梁祝”乐曲为例,列出了在这个乐曲中所用到的13个音符的分频预置数。

3.3.1分频预置数模块的VHDL设计

在这个模块的VHDL逻辑描述中设置了“梁祝”乐曲中全部音符所对应的分频预置数,共13个,每一音符的停留时间由音乐节拍和地址发生器模块的时钟(Clk)的输入频率决定,在此为4Hz。

这13个值的输出由程序的4位输入值index[3..0]确定。

输向程序[4]中index[3..0]的值又由地址发生器模块的输出toneindex[3..0]的输出值和持续时间决定。

程序如下:

libraryieee;

useieee.std_logic_1164.all;

entitytonetabais

port(index:

instd_logic_vector(3downto0);

code:

outstd_logic_vector(3downto0);

high:

outstd_logic;

tone:

outstd_logic_vector(10downto0));

endentitytonetaba;

architectureoneoftonetabais

begin

search:

process(index)

begin

caseindexis

when"0000"=>tone<="11111111111";code<="0000";high<='0';--2047;

when"0001"=>tone<="01100000101";code<="0001";high<='0';--773;

when"0010"=>tone<="01110010000";code<="0010";high<='0';--912;

when"0011"=>tone<="10000001100";code<="0011";high<='0';--1036;

when"0101"=>tone<="10010101101";code<="0101";high<='0';--1197;

when"0110"=>tone<="10100001010";code<="0110";high<='1';--1290;

when"0111"=>tone<="10101011100";code<="0111";high<='1';--1372;

when"1000"=>tone<="10110000010";code<="0001";high<='1';--1410;

when"1001"=>tone<="10111001000";code<="0010";high<='1';--1480;

when"1010"=>tone<="11000000110";code<="0011";high<='1';--1542;

when"1100"=>tone<="11001010110";code<="0101";high<='1';--1622;

when"1101"=>tone<="11010000100";code<="0110";high<='1';--1668;

when"1111"=>tone<="11011000000";code<="0001";high<='1';--1728;

whenothers=>null;

endcase;

endprocess;

endone;

模块的功能是输出各个音符所对应的分频预置数,当index是“0000”,tone输出为2047,即休止符的分频预置数;当index是“0101”时,tone输出为1197即低音5的分频预置数;当index是“1111”时,tone输出为1728即高音1的分频预置数等等其它状态时,tone分别输出相应音符的分频预置数。

3.4数控分频模块

音符的频率由数控分频模块获得,这是一个数控分频电路。

它是由一个初值可变的加法计数器构成。

该计数器的模为2047,当计数器记满时,计数器产生一个溢出信号FULL,此溢出信号就是用作发音的频率信号。

在计数器的输入端给定不同的初值,而此预置数就是表1中的计数初值,就可得到不同音符的发音频率信号。

它计满时所需要的计数初值可由下式来表示。

计数初值(Tone)=2047-分频系数

而分频系数又可有下式来求:

分频系数=基准频率/音符的发生频率

低音时Tone值小,分频系数大,溢出信号周期长,扬声器发出的声音低,Tone随音乐的乐谱变化大,自动控制分频比,实现了数控分频,发生信号的频率与音调Tone成正比。

这就是利用数控分频器自动演奏音乐的原理。

3.4.1数控分频模块的VHDL设计

其时钟(Clk)端输入的是12MHz的信号,从数控分频器中出来的输出信号是脉宽极窄的脉冲式信号,为了有利于驱动扬声器,需另加一个D触发器以均衡其占空比,这时的频率就变为原来的1/2,刚好就是相应音符的频率。

数控分频模块中对Clk输入信号的分频比由11位预置数tone[10..0]决定。

Fout的输出频率将决定每一个音符的音调,这样,分频计数器的预置值tone[10..0]与Fout的输出频率就有了对应关系。

例如在分频预置数模块中若取tone[10..0]=1036,将发出音符为“3”音的信号频率。

程序如下:

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityspeakerais

port(clk:

instd_logic;

tone:

instd_logic_vector(10downto0);

spks:

outstd_logic);

endentityspeakera;

architectureoneofspeakerais

signalpreclk,fullspks:

std_logic;

begin

divideclk:

process(clk)

variablecount4:

std_logic_vector(3downto0);

begin

preclk<='0';

ifcount4>11thenpreclk<='1';count4:

="0000";

elsifclk'eventandclk='1'thencount4:

=count4+1;

endif;

endprocess;

genspks:

process(preclk,tone)--11

variablecount11:

std_logic_vector(10downto0);

begin

ifpreclk'eventandpreclk='1'then

ifcount11=16#7ff#thencount11:

=tone;fullspks<='1';

elsecount11:

=count11+1;fullspks<='0';endif;

endif;

endprocess;

delayspks:

process(fullspks)

variablecount2:

std_logic;

begin

iffullspks'eventandfullspks='1'thencount2:

=notcount2;

ifcount2='1'thenspks<='1';

elsespks<='0';

endif;

endif;

endprocess;

endone;

此模块的功能是根据初始值d的值,对输入时钟信号Clk的频率进行分频,得到想要的音符的发声频率。

d的值设为6c0(即高音1的分频预置数1728),Clk的频率为750KHz,Fout输出的脉冲信号的周期为849.9291us(即1176.568Hz),接近高音1的发声频率。

由表1中可知高音1的分频系数为319,即对输入时钟Clk进行319次分频就可得高音1的发声频率。

3.5music模块

Music模块存放乐曲中的音符数据,它是利用LPM-ROM来实现的,将乐谱中相应的音符放在一个连续的地址上。

它首先是编写音符数据文件,将乐谱中相应的音符存放在一个连续的地址上。

因为1拍的时间定为1秒,提供的是4Hz的时钟频率(即1/4拍的整数倍),则需将这个音符存储在相应次数的连续几个地址上。

然后对音符数据进行ROM定制,最后对定制好的ROM文件进行测试和仿真。

3.5.1音符数据文件

width=4;--“梁祝”乐曲演奏数据

depth=256;

address_radix=dec;

data_radix=dec;

contentbegin

00:

3;01:

3;02;3;03:

3;04:

5;05:

5;06:

5;07:

6;08:

8;09:

8;

10:

8;11:

9;12:

6;13:

8;14:

5;15:

5;16:

12;17:

12;18:

12;19:

15;

20:

13;21:

12;22:

10;23:

12;24:

9;25:

9;26:

9;27:

9;28:

9;29:

9;

30:

9;31:

0;32:

9;33:

9;34:

9;35:

10;36:

7;37:

7;38:

6;39:

6;

40:

5;41:

5;42:

5;43:

6;44:

8;45;8;46:

9;47:

9;48:

3;49:

3;

50:

8;51:

8;52:

6;53:

5;54:

6;55:

8;56:

5;57:

5;58:

5;59:

5;

60:

5;61:

5;62:

5;63:

5;64:

10;64:

10;65:

10;66:

12;67:

7;68:

7;69:

7;

70:

9;71:

9;72:

6;73:

8;74:

5;75:

5;76:

5;77:

5;78:

5;79:

5;

80:

3;81:

5;82:

3;83:

3;84:

5;85:

6;86:

7;87:

9;88:

6;89:

6;

90:

6;91:

6;92:

6;93:

6;94:

5;95:

6;96:

8;97:

8;98:

8;99:

9;

100:

12;101:

12;102:

12;103:

10;104:

9;105:

9;106:

10;107:

9;108:

8;109:

8;

110:

6;111:

5;112:

3;113:

3;114:

3;115:

3;116:

8;117:

8;118:

8;119:

8;

120:

6;121:

8;122:

6;123:

5;124:

3;125:

5;126:

6;127:

8;128:

5;129:

5;

130:

5;131:

5;132:

5;133:

5;134:

5;135:

5;136:

0;137:

0;138:

0;

end;

其中WIDTH=4,表示数据输出为宽为4;DEPTH=256,表示共有256个4位数据点;ADDRESS-RADIX=DEC,表示地址信号用十进制;DATA-RADIX=DEC,表示输出数据是十进制数。

形成ROM中的配置数据(初始化数据)文件的方法如下:

在QuartusII中编辑.mif文件。

文件中的关键词WIDTH设置ROM的数据宽度;DEPTH设置ROM数据的深度,即4位数据的数量,文件中设置的256等效于8位地址线宽度;ADDRESS-RADIX=DEC和DATA-RADIX=DEC表示设置地址和数据的表达式格式都是十进制;地址/数据表以CONTENTBEGIN开始,以END结束;其中的地址/数据表达方式是冒号左边写ROM地址值,冒号右边写对应此地址放置的十进制数据,如46:

9,表示46为地址,9为该地址中的数据。

这样每读到一个地址,即可输出其相应的数据。

文件编辑好后,保存时取文件名为“mucic.mif”,存盘的路径为“D:

\altera\mucic”。

3.5.2LPM-ROM定制

定制的基本步骤如下:

(1)进入QuartusII,选菜单file->megawizardplug-inmanager,选择“creatanew…”,然后按“next”键,进入图2所示界面。

选择LPM-ROM;最后在browse下的栏中键入路径与输出文件名:

“D:

\mucic.vhd”,注意后缀vhd小写。

图2

(2)单击“next”键,将出现图3所示的界面,选择ROM数据位宽度为4,地址线宽为8,即设置此ROM能存储8位二进制数据共64个,然后进入图4所示的窗口

 

图3

 

 

图4

(3)通过在图4所示窗口的“browse”钮,找到ROM中的加载文件路径和文件名:

“D:

\altera\QuartusII\music.mif”,注意ROM元件的inclock是地址锁存时钟。

此模块的功能是输出相应地址上所存储的音符数据,当address是0、1、2、3时,q的输出是3;当address是4、5、6时,q的输出是5;当address是7时,q的输出是6等等都符合模块中的音符数据文件中的地址/数据表。

3.6顶层文件

程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYSongerIS

PORT(CLK12MHZ:

INSTD_LOGIC;

CLK8HZ:

INSTD_LOGIC;

CODE1:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

HIGH1:

OUTSTD_LOGIC;

SPKOUT:

OUTSTD_LOGIC);

ENDENTITYSonger;

ARCHITECTUREoneOFSongerIS

COMPONENTNoteTabs

PORT(clk:

INSTD_LOGIC;

ToneIndex:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));

ENDCOMPONENT;

COMPONENTToneTaba

PORT(Index:

INSTD_LOGIC_VECTOR(3DOWNTO0);

CODE:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

HIGH:

OUTSTD_LOGIC;

Tone:

OUTSTD_LOGIC_VECTOR(10DOWNTO0));

ENDCOMPONENT;

COMPONENTSpeakera

PORT(clk:

INSTD_LOGIC

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 高中教育

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1