ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:348.09KB ,
资源ID:9970168      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9970168.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA技术与应用课程设计报告.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA技术与应用课程设计报告.docx

1、EDA技术与应用课程设计报告EDA技术及应用课程设计报告 题目:数字频率计的设计 班级: 自动化132 学生姓名: 王肖亮 指导老师: 潘清明1.引言1).数字频率计数字频率计是采用数字电路制做成的能实现对周期性变化信号频率测量的仪器。频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。通常说的,数字频率计是指电子计数式频率计。测量频率的方法有很多,按照其工作原理分为无源测量法、比较法、示波器法和计数法等。计数法在实质上属于比较法,其中最常用的方法是电子计数器法。电子计数器是一种最常见、最基本的数字化测量仪器。数字计数式频率计能直接计数单

2、位时间内被测信号的脉冲数,然后以数字形式显示频率值。这种方法测量精确度高、快速,适合不同频率、不同精确度测频的需要。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。由于数字电路的飞速发展和集成电路的普及,计数器的应用十分广泛。利用电子计数器测量频率具有精度高,显示醒目直观,测量迅速,以及便于实现测量过程自动化等一系列突出优点,所以该方法是目前最好的。在电子技术领域,频率是一个最基本的参数。数字频率计作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。许多物理量,例如温度、压力、流量、液位、PH值、

3、振动、位移、速度等通过传感器转换成信号频率,可用数字频率计来测量。尤其是将数字频率计与微处理器相结合,可实现测量仪器的多功能化、程控化和智能化.随着现代科技的发展,基于数字式频率计组成的各种测量仪器、控制设备、实时监测系统已应用到国际民生的各个方面。2).EDA技术 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA技术。EDA技术是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电路而随着技术的进步,EDA技术更多地应用到各个电子系统中已

4、成一种趋势,本设计主要设计一8位10进制频率计。Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/Me

5、gaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具.2.实验目的(1)熟悉Quartus /ISE Suite/ispLEVER 软件的基本使用方法。(2)熟悉GW48-CK或其他EDA实验开发系统的基本使用方法。(3)学习VHDL基本逻辑电路的综合设计应用。3.实验内容 设计并调试好8位十进制数字频率计,并用GW48-CK或其他EDA实验开发系统(事先应选定拟采用的实验芯片的型号)进行硬件验证。4.实验要求 (1)画出系统的原理框图,说明系统中各主要组成部分

6、的功能。 (2)编写各个VHDL源程序 (3)根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。 (4)根据选用的EDA实验开发装置编号用于硬件验证的管脚锁定表格或文件。 (5)记录系统仿真、逻辑综合及硬件验证结果。 (6)记录实验过程中出现的问题及解决办法。5.系统设计思路 8位十进制数字频率计由1个测频控制信号发生器TESTCTL、8个有时钟使能的十进制计数器CNT10、1个32位锁存器REG32B组成。以下分别叙述频率计各逻辑模块的功能与设计方法。 1)测频控制信号发生器的设计 频率测量的基本原理是计算每秒内待测信号的脉冲个数。这就要求TESTCTL的计数使能信号TSTE

7、N能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。当TETEN高电平时,允许计数;低电平时停止计数,并保持其所记的数。在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒钟的计数值锁存进32位锁存器REG32B中,由外部的7段译码器译出并稳定显示。锁存信号之后,必须有一清零信号CLR_CNT对计数器进行清零,为下一秒钟的技术操作做准备。 测频控制信号发生器的工作时序,需首先建立一个由D触发器构成的二分频器,在每次时钟CLK的频率取1Hz,而信号TSTEN的脉宽恰好为1s,可以用作闸门信号。此时,根据测频的时序要求,可得出信号LOAD和C

8、LR_CNT的逻辑描述。在计数完成后,即计数使能信号TSTEN在1S的高电平后,利用其反相值的上跳沿产生一个锁存信号LOAD,0.5s后,CLR_CNT产生一个清零信号上跳沿。 测频控制信号发生器工作时序 高质量的测频控制信号发生器的设计十分重要,设计中要对其进行仔细的实时仿真(TIMING SIMULATION),防止可能产生的毛刺。 2)寄存器REG32B的设计 设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。若已有32位BCD码存在于此模块的输入口,在信号LOAD的上升沿后即被锁存到寄存器REG32B的内部,并由REG32B的输出端输出,然后由实验板上的7段译码器

9、译成能在数码管上显示的相对应的数值。 3)十进制计数器CNT10的设计 此十进制计数器的特殊之处是,有一时钟使能输入端ENA,用于锁定计数值。当高电平时计数允许,低电平时计数禁止。6.VHDL源程序1).有时钟使能的十进制计数器的源程序CNT10.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CNT10 IS PORT(CLK:IN STD_LOGIC; -计数时钟信号 CLR:IN STD_LOGIC; -清零信号 ENA:IN STD_LOGIC; -计数使能信号 CQ:OUT INTEGER RANGE 0 TO 15; -4位计数

10、结果输出 CO:OUT STD_LOGIC); -计数进位END ENTITY CNT10;ARCHITECTURE ART OF CNT10 IS SIGNAL CQI:INTEGER RANGE 0 TO 15; BEGIN PROCESS(CLK,CLR,ENA) IS BEGIN IF CLR=1 THEN CQI=0; -计数器异步清零 ELSIF CLKEVENT AND CLK=1 THEN IF ENA=1 THEN IF CQI9 THEN CQI=CQI+1; ELSE CQI=0; END IF; -等于9,则计数器清零 END IF; END IF; END PROCE

11、SS; PROCESS(CQI) IS BEGIN IF CQI=9 THEN CO=1; -进位输出 ELSE CO=0; END IF; END PROCESS; CQ=CQI;END ARCHITECTURE ART; 2)32位锁存器的源程序REG32B.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY REG32B IS PORT(LOAD:IN STD_LOGIC; DIN:IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUT:OUT STD_LOGIC_VECTOR(31 DOWNTO 0);END EN

12、TITY REG32B;ARCHITECTURE ART OF REG32B IS BEGIN PROCESS(LOAD,DIN) IS BEGIN IF(LOAD EVENT AND LOAD = 1)THEN DOUT=DIN; END IF; END PROCESS;END ARCHITECTURE ART;3)测频控制信号发生器的源程序TESTCTL.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TESTCTL IS PORT(CLK:IN STD_LOGIC; -1

13、HZ测频控制时钟 TSTEN:OUT STD_LOGIC; -计数器时钟使能 CLR_CNT:OUT STD_LOGIC; -计数器清零 LOAD:OUT STD_LOGIC); -输出锁存信号 END ENTITY TESTCTL;ARCHITECTURE ART OF TESTCTL IS SIGNAL DIV2CLK:STD_LOGIC; BEGIN PROCESS(CLK) IS BEGIN IF CLKEVENT AND CLK=1 THEN -1Hz时钟二分频 DIV2CLK=NOT DIV2CLK; END IF;END PROCESS;PROCESS(CLK,DIV2CLK)

14、IS BEGIN IF CLK=0 AND DIV2CLK=0 THEN -产生计数器清零信号 CLR_CNT=1; ELSE CLR_CNT=0; END IF;END PROCESS;LOAD=NOT DIV2CLK; TSTENCLK,TSTEN=SE,CLR_CNT=SC,LOAD=SL); U1:CNT10 PORT MAP(CLK=FSIN,CLR=SC,ENA=SE,CQ=SD(3 DOWNTO 0),CO=S1);-名字关联 U2:CNT10 PORT MAP(CLK=S1, CLR=SC,ENA=SE,CQ=SD(7 DOWNTO 4),CO=S2); U3:CNT10 PO

15、RT MAP(S2,SC,SE,SD(11 DOWNTO 8),S3);-位置关联 U4:CNT10 PORT MAP(S3,SC,SE,SD(15 DOWNTO 12),S4); U5:CNT10 PORT MAP(S4,SC,SE,SD(19 DOWNTO 16),S5); U6:CNT10 PORT MAP(S5,SC,SE,SD(23 DOWNTO 20),S6); U7:CNT10 PORT MAP(S6,SC,SE,SD(27 DOWNTO 24),S7); U8:CNT10 PORT MAP(S7,SC,SE,SD(31 DOWNTO 28),S8); U9:REG32B PORT MAP(LOAD=SL,DIN=SD(31 DOWNTO 0),DOUT=DOUT);END ARCHITECTURE ART;7.仿真结果FREQ的时序仿真结果CNT10的时序仿真结果8.原理图1).功能模块 CNT10模块 REG32B模块 FREQ模块 TESTCTL模块2).8位十进制数字频率计逻辑图9.实验程序截图CNT10.VHDREG32B.VHDTESTCTL.VHDFREQ.VHD

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1