ImageVerifierCode 换一换
格式:DOCX , 页数:26 ,大小:214.89KB ,
资源ID:9755442      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9755442.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(大学毕业设计单片机医院病房呼叫系统设计.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

大学毕业设计单片机医院病房呼叫系统设计.docx

1、大学毕业设计单片机医院病房呼叫系统设计 河南工业职业技术学院 毕业设计论文 题 目: 医院病房指示灯控制电路 目录摘要 1第一章 概述 31.1 设计概述 31.2 设计目的及要求 31.3技术指标: 3第二章 整体设计 4第三章 硬件设计 53.1 系统方框图.3.2 电路原理图 53.3 元器件列表 53.4 仿真图 53.3.1DAC0832工作原理介绍 52.3.2 DAC0832芯片的特点 53.4 调整输出的设计 5第四章 电路仿真与调试 54.1功能介绍 54.2程序流程图 54.3程序源代码.4.4调试第五章 总结与体会 5参考文献 5附录 5主要供电电源电路图: 5 摘要 随

2、着科学技术的发展和医院改革的逐步深入,信息化、管理科学化的概念已渗透到医院管理之中。 医院的管理模式必须实现由经验管理向信息管理的转变,向智能化,电子化,信息化,网络化的高科技管理模式的方向发展,只有这样才能适应现代化医院科学管理的需要。 “医院呼叫系统”可实现对医院病房的智能化管理,它有着实现呼叫、监听、广播、求救报警、信息贮存、显示等功能,为医院和患者都带来方便。本文阐述呼叫系统的总体设计以及相关电路的优化处理,介绍了设计制作一个完整的呼叫系统需要做的准备与制作过程,通过一段时间的努力制作,从刚开始透彻理解题目要求及所要设计的产品的各项性能功能 第2章. 概述1.1设计概述 第2章. 整体

3、设计 临床求助呼叫(监护)是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断和护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,并在值班室的监控中心电脑上留下准确完整的记录,是提高医院和病室护理水平的必备设备之一。呼叫系统的优劣直接关系到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确、可靠、简便可行。本呼叫系统基于Ateml89C51单片机,振荡电路的晶振采用12MHz,由控制核心AT89S52单片机、电源电路、振荡电路、复位电路、数码管解码芯片、病房选择和七段数码管等部分组成,系统框图如下: 选择电路第3章. 硬件设计3.1原理框图呼叫器原理框图3.2

4、 电路原理图工作原理为:电源电路为单片机以及其他模块提供5V电源。晶振模块为单片机提供时钟标准,使系统各部分能协调工作。复位电路模块为单片机系统提供复位功能。单片机作为主控制器,根据输入信号对系统进行相应的控制。病房一共为四个,从1号病房到4号病房病人的情况由重到轻,即1号病房的优先级最高,4号病房的优先级最低。所以,当有两个病房一起呼叫时,优先级高的病房号显示;当低优先级的病房呼叫完毕后高优先级的病房呼叫,系统显示的号码改变;当优先级高的病房呼叫完毕后工作人员未复位的情况下,低优先级的病房呼叫无效。另外,当有病房呼叫时蜂鸣器响直至复位。此次设计的电路图如下:3.3 元器件列表元件名称型号数量

5、/个用途单片机AT89S521控制核心排阻4.7K1晶振12MHz1晶振电路电容22pF2电解电容10uF/10V1复位电路病房按钮按键5电阻10K5电源5V/0.5A1电源电路数码管1位共阳2解码芯片74LS472BCD解码蜂呜器1电解电容1复位电路电容1041复位电路二极管1复位电路发光二极管1电源显示开关1电源开关3.4各单元电路的设计病房按键部分编码器部分数码管显示指示灯部分振荡电路&报警部芯片介绍3.3 仿真图:1、未通电:2、通电:3、低优先级病房先呼叫:(蜂鸣器响) 高优先级病房后呼叫:(蜂鸣器响)4、高优先级病房先呼叫:(蜂鸣器响) 低优先级病房后呼叫:(蜂鸣器响)5、当有两个

6、病房同时呼叫时第4章.软件设计4.1 功能介绍启动系统后,数码管显示0。当有一个病人呼叫时,数码管显示相应病房号,同时蜂鸣器响起;当有两个病人同时呼叫时,数码管显示优先级高的,同时蜂鸣器响起;当有一个病房呼叫后另一个病房呼叫,若后呼叫的病房优先级低,则数码管显示不变,若后呼叫的病房优先级高,则数码管显示后呼叫的,两种情况下蜂鸣器都会叫,只是在有别的病房呼叫时有一点变音。4.2 程序流程图程序流程图如下:开始工作 判断优先级 显示病房号并响铃 开始判断优先级 显示病房号并响铃 4.3程序源代码:#include #define uchar unsigned charsbit key4=P30;/

7、定义按键位置 sbit key3=P31;sbit key2=P32;sbit key1=P33;sbit reset=P34;/复位sbit BEEP=P17;/定义蜂鸣器端口uchar flag1,i;void choice();void clean();void delay();void de();void ring();void main() while(1) P3=0xff; reset=0; BEEP=0; flag1=0; choice(); delay(); clean(); void choice()/确定病人 while(reset!=1&flag=0) if(key1=0

8、) de(); if(key1=0) P0=0X86;flag=1; else if(key2=0) de(); if(key2=0&key1!=0) P0=0Xdb;flag=1; else if(key3=0) de(); if(key3=0&key1!=0&key2!=0) P0=0Xcf; flag=1; else if(key4=0) de(); if(key4=0&key1!=0&key2!=0&key3!=0) P0=0Xe6; flag=1; void clean() /RESET 为高的时候复位 if(reset=1) BEEP=0; P0=0x3f; void delay(

9、) /RESET为低的时候延时 while(!reset) ring(); void ring() for(i=0;reset=0;i+)/喇叭发声的时间循环 de(); BEEP=!BEEP; if(key1=0|key2=0|key3=0)/第二次呼叫 if( P0=0X86) P0=0X86; else if(P0=0Xdb&key1=0) P0=0X86; else if(P0=0Xcf&key1=0) P0=0X86; else if(P0=0Xcf&key1=1&key2=0) P0=0Xdb; else if(P0=0Xe6&key1=0) P0=0X86; else if(P0

10、=0Xe6&key1=1&key2=0) P0=0Xdb; else if(P0=0Xe6&key1=1&key2=1&key3=0) P0=0Xcf; void de() for(i=300;i0;i-);4.4 调试出现的问题及解决方法1. 在本次实验中,优先编码器本来使用的是74LS147,此芯片对应的是十输入四输出的BCD反码,当接入到数码显示管时,需要将BCD反码改成BCD正码。对应的就要在输出的时候加入非门,由于实验器材的有限,所以使用74LS148编码器,此芯片的特点是八输入三输出,因此在对应的数码管中,本来是输入的BCD码,但现在只有三个端口输入,因此最高位应接低电平,其余端口

11、依次接入。2. 当没有任何人按键时,数码管显示所产生的数字是7,对应的数字是0111,这与实验要求所产生的数字0也就是对应的BCD码0000相矛盾。3. 由555定时器构成的门铃中,第一个555定时器即实现单稳态的输出的时间是5秒,那就对应的时间T=0.7RC.在第二个555定时器构成的多谐振荡器中要想实验频率不同的声响就必须改变其周期,多谐振荡器所产生的周期T是0.7(R2+2R3)所对应的频率就是1/T,多谐振荡器C5的电容应选较大。实现一种耦合的作用。 第5章. 仿真及程序调试此次课程设计程序完全为自己所写,图也是自己画出来的,在开始程序只能保证第一次呼叫,也就是当有一个病人呼叫后其他病

12、人不能呼叫,在仿真的过程中,开始仿真失败,数码管完全不亮,后来发现是因为在病人的按钮开关上接了发光二极管,导致驱动不够。解决这个问题后,发现有时序混乱问题,所以又加上了void de()函数防抖动,这处是同学提醒。之后又出现蜂鸣器不响,又加入了ring()函数的前半部分,然而又将图中的接口与口与程序中的接口没相符,改正后蜂鸣器开始叫。最后加入了ring函数的if部分,这样在一个病房呼叫后其他优先级高的病房依旧可以呼叫,调试成功。实物焊接焊接后实物电路图无错,但是发生接触不良现象,通电后89C51的引脚不接通,导致数码管不亮,然而摇动芯片或排阻过程中,数码管会亮,不知原因,因为剩下器材不充分,所

13、以放弃了焊接实物。第6章. 总结体会一个星期的时间已经过去,通过查找资料,对呼叫系统有了更深刻的认识,对于医护人员的护理提出了更加人性化的理念,间接的支持了医院的医疗改革。 此次设计我全部采用了C语言,是因为相对于汇编语言而言,我对C语言更加熟悉,在网上病房呼叫系统的例子不多,所以只能参考一些抢答器的例子。病房呼叫系统相比于抢答器来说,程序上简单很多,但是,如果是想自己写的话,我只能选择相对简单的,这样才好更加的了解自己设计的东西,比直接copy出来的设计会学到更多的东西。实物焊接虽然最后以告终,但是,还是学会了耐心和细心,如果布局,如何走线会让电路板更加整洁,总之收获还是很多的!病房呼叫系统

14、包括电源电路的设计,呼叫电路的设计,语音电路的设计,显示电路的设计和其它电路的设计。这一实验能够实现语音播报,按键输入,液晶显示等功能。关于对555定时器使用的体会:555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V16V 工作,7555 可在 318V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容。 555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现

15、多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如图 2.9.1 和图 2.9.2 所示。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2/3 VCC。555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 A1 的反相输入端的电压为 2VCC /3,A2 的同相输入端的电压为VCC /3

16、。若触发输入端 TR 的电压小于VCC /3,则比较器 A2 的输出为 1,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 A1 的输出为 1,A2 的输出为 0,可将 RS 触发器置 0,使输出为 0 电平。 555的应用:(1)构成施密特触发器,用于TTL系统的接口,整形电路或脉冲鉴幅等;(2)构成多谐振荡器,组成信号产生电路;(3)构成单稳态触发器,用于定时延时整形及一些定时开关中。555应用电路采用这3种方式中的1种或多种组合起来可以组成各种实用的电子电路,如定时器、分频器、脉冲信号发生器、元件参

17、数和电路检测电路、玩具游戏机电路、音响告警电路、电源交换电路、频率变换电路、自动控制电路等。 总之,信息时代的医院管理已经从传统的人管模式,向智能化,电子化,信息化,网络化的高科技管理模式的方向迅猛发展。 “医疗呼叫系统”可实现对医院病房的智能化管理,可实现呼叫、监听、广播、求救报警、信息贮存、显示等功能。为医院和患者都带来方便。这次实验使我掌握好多知识点,锻炼了我们的操作能力。参考资料 1 康华光. 电子技术基础. 北京:高等教育出版社,1999年2 彭华林等编. 数字电子技术. 长沙:湖南大学出版社,2004年 3 金唯香等编. 电子测试技术. 长沙:湖南大学出版社,2004年4 侯建军. 数字电路实验一体化教程. 北京:清华大学出版社,北京交通大学出版社,2005年5 阎石. 数字电子技术基础. 北京:高等教育出版社,2001年

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1