ImageVerifierCode 换一换
格式:DOCX , 页数:22 ,大小:236.76KB ,
资源ID:9668129      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9668129.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(毕业设计4个16X16点阵LED电子显示屏.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

毕业设计4个16X16点阵LED电子显示屏.docx

1、毕业设计4个16X16点阵LED电子显示屏安阳师范学院本科学生毕业论文4个16X16点阵LED电子显示屏作者 谭 倩 系(院) 物理与电气工程学院 专业 电气工程及其自动化 日期 2015.6.1 学生诚信承诺书本人郑重承诺:所呈交的论文是我个人在导师指导下进行的研究工作及取得的研究成果。尽我所知,除了文中特别加以标注和致谢的地方外,论文中不包含其他人已经发表或撰写的研究成果,也不包含为获得安阳师范学院或其他教育机构的学位或证书所使用过的材料。与我一同工作的同志对本研究所做的任何贡献均已在论文中作了明确的说明并表示了谢意。签名:谭倩日期: 2011-6-4论文使用授权说明本人完全了解安阳师范学

2、院有关保留、使用学位论文的规定,即:学校有权保留送交论文的复印件,允许论文被查阅和借阅;学校可以公布论文的全部或部分内容,可以采用影印、缩印或其他复制手段保存论文。签名:谭 倩导师签名:日期:2011-6-44个16X16点阵LED电子显示屏 摘 要:为设计4个16X16的LED显示屏,本文在详细介绍了LED点阵显示的硬件设计思路、硬件电路各个部分的功能及原理、相应软件的程序设计,以及使用说明等的基础上,研究了以40引脚单片机AT89C51为核心,设计控制一个行驱动器 74LS154和八个列驱动器74LS595来驱动16块88点阵LED显示模块来组成4个1616点阵显示模式。这里设计的电子显示

3、屏可实现动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。关键词:AT89C51; LED; 点阵显示; 动态显示1 LED显示屏简介1.1 LED显示屏的发展及应用LED电子显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它是集微电子技术、光电子技术、计算机技术、信息处理技术于一体的显示系统,是目前国际上极为先进的显示媒体。LED显示屏的发展可分为以下几个阶段:第一阶段为1990年到1995年,主要是单色和16级双色图文屏。用于显示文字和简单图片,主要用在车站、金融证券、银行、邮局等公共场所,作为公共信息显示工具。 第二阶段是1995年到1999年,出现了64级、2

4、56级灰度的双基色视频屏。视频控制技术、图像处理技术、光纤通信技术等的应用将LED显示屏提升到了一个新的台阶。LED显示屏控制专用大规模集成电路芯片也在此时由国内企业开发出来并得以应用。 第三阶段从1999年开始,红、纯绿、纯蓝LED管大量涌入中国,同时国内企业进行了深入的研发工作,使用红、绿、蓝三原色LED生产的全彩色显示屏被广泛应用,大量进入体育场馆、会展中心、广场等公共场所,从而将国内的大屏幕带入全彩时代。 随着信息产业的高速发展,LED显示屏作为信息传播的一种重要手段成为现代信息化社会的一个闪亮标志。近年LED显示屏已广泛应用于室内、外需要进行服务内容和服务宗旨宣传的公众场所如银行、营

5、业部、车站、机场、港口、体育场馆等信息的发布,政府机关政策、政令,各类市场行情信息的发布和宣传等。目前,对于那些需要显示的信息量不是很大,分辨率不是很高,又需要制造成本相对比较低的场合,使用大、小屏幕LED点阵显示器是比较经济适用的,它可以用单片机控制实现显示字符、数字、汉字和简单图形,可以根据需要使用不同字号、字型。 汉字显示方式是先根据所需要的汉字提取汉字点阵(如1616点阵),将点阵文件存入ROM,形成新的汉字编码。而在使用时则需要先根据新的汉字编码组成语言,再由MCU根据新编码提取相应的点阵进行汉字显示。具体显示技术和原理将会在正文中得到详细论述。1.2国产LED显示屏技术与国外差距随

6、着LED显示屏市场的不断扩大,目前国内全彩色市场逐步被划分为三个档次。第一档为巴可、松下等国际知名企业生产的高档产品;第二档为国内大型企业研制的采用日亚高品质LED生产的产品;第三档为采用我国生产的LED制作的显示屏。这三种档次的LED显示屏在价格和功能上也存在着较大区别。 在技术上,LED显示屏的发展要紧跟世界一流企业的品质特点,目前国产的高品质LED显示屏与国外顶尖产品在图像处理技术、前端视频处理技术等方面差别不大,主要差距在于以下两个方面: 1.单点颜色确认 “单点颜色确认”技术能够确保逼真的色彩显示,可持续长达数年之久不变。 目前国内的产品只能做到单元模块和单元箱体的调节,不能做到真正

7、的单点调节,因此在色彩和亮度一致性上有差别,特别是在经过维修更换了显示单元后,由于LED的参数可能发生了变化,显示效果很难跟原屏保持一致,而这项工作在国外是由专用的大型设备完成的,而国内没有这类因产品特性而开发的设备,也希望社会相关行业和设备制造企业能够给予关注。目前这项工作在巴可利亚德是这样做的:模块内,每个像素点中的LED灯管都有其各自的颜色、亮度的数值,这些发光二极管在工厂安装时均要被精确测量过,这些数据同时被储存到每个模块中的EEPROM,而当显示信号被处理时,数字处理仪(DIGITIZER)将从每个EEPROM中读取该信息,并对LED的亮度、颜色差别加以修正,以保证显示效果达到一致的

8、最佳状态。 2.结构工艺 目前国内产品的箱体外壳基本上都是采用单件钣金加工,加工工艺差,精度不高,外观不美观,防水性也不十分好,特别是在室外恶劣环境下,易造成系统不稳定。巴可的箱体适应恶劣工作环境,所有箱体的外部硬件都装在具备IP65标准的铝合金铸件包装箱内。箱体所采用的专门密封措施足以防止雨水、尘土的进入。数字“5”是防止液体渗入的等级,也是设计要求之一,这样显示系统就可以在雨天、无积水的环境下正常工作。2 系统整体设计方案2.1 总体框图设计一个能显示4个16X16点阵图文LED显示屏,要求能显示图形或文字,显示图形或文字应稳定、清晰,图形或文字显示有静止、左移或右移等显示方式。如图2.1

9、所示为显示屏电路实现的结构框图。图2.1 显示屏电路框图2.2 显示模式方案从理论上讲,不论显示图形还是文字,只要控制与组成这些图形或文字的各个点所在位置和对应的LED器件发光,就可以得到想要的显示结果。这种同时控制各个发光点亮灭的方法称为静态驱动显示方式。每个16X16的点阵共有256个发光二极管,显然单片机没有这么多端口。如果采用锁存器来计算,一个16X16的点真需要256/8=32个锁存器。这个数字很庞大,因为这里仅仅是16X16的点阵,而在实际应用中的显示屏往往还要大得多,这样在锁存器上花的成本将是一个很庞大的数字。因此在实际应用中,显示屏几乎都不采用这种设计,而采用另一种称为动态扫描

10、的现实方法。2.3 数据传送方案采用扫描方式进行显示时,每行有一个行驱动器,各行的同名列共用一个列驱动器。显示数据通常存储在单片机的存储器中,按8位一个字节的形式顺序排放。显示时,要把一行中各列的数据送到相应的列驱动器上去,这就存在一个显示数据传输的问题。从控制电路到列驱动器的数据传输可以采用并行方式。显然,采用并行方式时,从控制电路到列驱动器的线路数量大,相应的硬件数目多。当列数很多时,并行传输的方案是不可取的。采用串行传输的方法,控制电路可一只用一根信号线,将列数据逐位地传往列驱动器,在硬件方面无疑是十分经济的。但是,串行传输过程较长,数据按顺序逐位地输出给列驱动器,只有当一行中的各列数据

11、都传输到位之后,这一行的各列才能并行地进行显示。这样,对于一行的显示过程就可以分解成列数据准备(传输)和列数据显示两部分。对于串行传输方式来说,列数据准备时间相对要长一些,在进行扫描周期确定的情况下,行显示的时间就会缩短,以致会影响到LED的亮度效果。解决串行传输中列数据准备和列数据显示的时间矛盾问题,可以采用重叠处理的方法来解决。即在显示本行各列数据的同时,传送下一行的列数据。为了达到重叠处理的目的,列数据的现实就需要具有锁存功能。经过上述分析,可以归纳出列驱动器电路应具备的主要功能:对于列数据准备来说,应能实现串入并出的移位功能;对于列数据显示来说,应具有并行锁存功能。这样,本行已准备好的

12、数据打入并行锁存器进行显示时,串并移位寄存器就可以准备下一行的列数据,而不会影响本行的显示时间。2.4 软件设计思路显示屏软件的主要功能是向屏体提供显示数据,并产生各种控制信号,使屏幕按设计的要求显示。根据软件分层次设计的原理,可把显示屏软件系统分为两大层:第一层是底层的显示驱动程序;第二层是上层的系统应用程序。显示驱动程序负责向屏体送显示数据,并负责产生行扫描信号和其他控制信号,配合完成LED显示屏的扫描显示工作。显示驱动程序由定时器中断程序实现。系统应用程序完成系统环境设置(初始化)、显示效果处理等工作,由主程序来实现。3 系统硬件电路的设计3.1 单片机的选择该显示屏硬件电路大致可分为单

13、片机系统及外围电路、行驱动电路和列驱动电路3部分。单片机采用AT89C51或其兼容系列的芯片。系统采用12MHz或更高频率的晶振,以获得较高的刷新频率,使显示更稳定。3.1.1AT89C51的组成1 中央处理器 中央处理器(CPU)是整个单片机的核心部件,是8位数据宽度的处理器,能处理8位二进制数据或代码,CPU负责控制、指挥和调度整个单元系统协调的工作,完成运算和控制输入输出功能等操作。2 数据存储器(RAM) 数据存储器用于存放变化的数据。89C51内部有128个8位用户数据存储单元和128个专用寄存器单元,它们是统一编址的,专用寄存器只能用于存放控制指令数据,用户只能访问,而不能用于存放

14、用户数据,所以,用户能使用的RAM只有128个,可存放读写的数据,运算的中间结果或用户定义的字型表。3 程序存储器(ROM) 程序存储器用于存放程序和固定不变的常数、表格等。在89系列单片机中全部采用闪存,AT89C51内部配置了4KB的闪存。4 定时/计数器 定时/计数器用于实现定时和计数功能。AT89C51工有2个16位可编程的定时/计数器。5 并行输入输出(I/O)口 AT89C51共有4个8位的并行I/O口(P0、P1、P2、P3),每个口都由1个锁存器和1个驱动器组成。并行I/O口主要是用于实现与外部设备中数据的并行输入/输出,有些I/O口还具有其他功能。6 串行口 AT89C51有

15、1个UART、全双工异步串行口,用于实现单片机和其他具有相应接口的设备之间的异步串行数据传送。7 中断系统 中断系统的主要作用是对外部或内部的中断请求进行处理和管理。89C51共有5个中断源,其中2个外部中断源、3个内部中断源。可满足不同的控制要求,并具有2级中断优先级别选择。3.1.2引脚简介AT89C51单片机内部总线是单总线结构,即数据总线和地址总线是公用的. 89C51有40条引脚, 与其他51系列单片机引脚是兼容的。 这40条引脚可分为I/O接口线、电源线、控制线、外接晶体线4部分。 89C51单片机为双列直插式封装结构, AT89C51引脚如图3.3所示。图3.1 AT89C51的

16、引脚图1 P0口:8位双向I/O口。当不接外部存储器与不扩展I/O接口时,它可作为准双向8位输入/输出接口。当接有外部程序存储器或扩展I/O口时,P0口为地址/数据分时复用口。它分时提供8位双向数据总线。2 P1口:8位准双向I/O口。3 P2口:8位准双向I/O口。在访问外部存储器时,P2口用于传送高8位地址。4 P3口:8位准双向I/O口。同时P3口每一引脚还具有第二功能,用于特殊信号输入输出和控制信号。P3口第二功能如表3.1所示。表3.1 P3口第二功能端 口 引 脚第 二 功 能P3.0RXD (串行口输入端)P3.1TXD (串行口输出端)P3.2 (外中断0)P3.3 (外中断1

17、)P3.4T0 (定时/计数器0)P3.5T1 (定时/计数器1)P3.6 (外部数据存储器写选通)P3.7 (外部数据存储器读选通) 设计中单片机的串口与列驱动器相连,用来送显示数据。P1口低4位与行驱动器相连,送出行选通信号;P1.5-P1.7口则用来发送控制信号。P0口和P2口空闲,在必要时,可以扩展系统的ROM和RAM。3.1.3复位方式AT89C51单片机的复位靠外部电路实现,信号由RESET(RST)引脚输入,高电平有效,在振荡器工作时,只要保持RST引脚高电平两个机器周期,单片机即复位。 复位后,PC程序计数器的内容为0000H,片内RAM中内容不变。 复位电路一般有上电复位、手

18、动复位和自动复位电路3种,如图3.2所示。本设计采用手动复位方式。图3.2 AT80C51单片机的复位电路3.2 工作原理16X16点阵利用动态扫描逐行轮流点亮,这样扫描电路就可以实现多行的同名列共用一套列驱动器,即把所有同一列发光管的阳极连在一起,把所有同一列发光管的阴极连在一起(共阳的接法),先送出对应第一行发光管亮灭的数据并锁存,然后选通第一行使其点亮一定的时间,然后熄灭;再送出第二行的数据并锁存,然后选通第二行使其点亮一定的时间,然后熄灭第十六行之后又重新点亮第一行,这样反复轮回。当这样轮回的速度足够快(每秒24次以上)时,由于人眼的视觉暂留现象,我们就能看到显示屏上稳定的图形了。16

19、X16点阵显示屏的硬件原理图如图3.3所示:图3.3 4个16X16点阵显示屏硬件原理图3.3 行驱动电路单片机P1口低4位输出的行号经4/16线译码器74LS154译码后生成16条行选通信号线,再经过驱动器驱动对应的行线。一条行线上要带动16列X4的LED进行显示,按每一LED器件5mA电流计算,64个LED同时发光时,需要320mA的电流,选用三极管8550作为驱动管可满足要求。3.4 列驱动电路列驱动电路由集成电路74LS595构成。它具有一个8位串入并出的移位寄存器和一个8位输出锁存器的结构,而且移位寄存器和输出锁存器的控制是各自独立的,可以使现在显示本行各列数据的同时,传送下一行的列

20、数据,即达到重叠处理的目的。74LS595的外形及内部结构如图3.4所示。图3.4 74LS595外形及内部逻辑结构图它的输入侧有8个串行移位寄存器,每个移位寄存器的输出都连接一个输出锁存器。引脚SER是串行数据的输入端。引脚SRCLK是移位寄存器的移位时钟脉冲,在其上升沿发生移位,并将ER的下一个数据输入最低位。移位后的各位信号出现在各移位寄存器的输出端,也就是输出锁存器的输入端。RCLK是输出锁存器的输入信号,其上升沿将移位寄存器的输出信号输入到输出锁存器。引脚E是输出三态门的开放新号,只有当其为低时锁存器的输出才开放;否则为高阻态。信号是移位寄存器的清0输入端,当其为低时移位寄存器的输出

21、全部为0。由于SRCLK和RCLK两个信号是互相独立的,所以能够做到输入串行移位与输出锁存互不干扰。芯片的输出端为O0O7,最高位O7可作为多片74LS595级联应用时向上一级的级联输出。但因O7受输出锁存器输入控制,所以还从输出锁存器前引出了Q7,作为与移位寄存器完全同步的级联输出。4 系统软件部分设计4.1 软件设计总体思路显示屏软件的主要功能是向屏体提供显示数据,并产生各种控制信号,是屏幕按设计的要求显示。根据软件分层次设计的原理,可把显示屏软件系统分为两大层:第一层是底层的现实驱动程序;第二层是上层的系统应用程序。现实驱动程序负责向屏体送显示数据,并负责产生行扫描信号和其他控制信号,配

22、合完成LED显示屏的扫描显示工作。显示驱动程序由定时器中断程序实现。系统应用程序完成系统环境设置(初始化)、显示效果处理等工作,由主程序来实现。 4.2 系统主程序系统主程序开始后,首先对系统环境初始化,包括设置串口、定时器、中断和端口。然后以“卷帘出”效果显示文字或图案,停留几秒钟,接着向上滚动显示汉字或图形,停留几秒钟后,再左移显示汉字或图形、右移显示等。最后以“卷帘入”效果隐去文字。显示效果可以根据需要进行设置,系统程序会不断地循环执行显示效果。如图4.1所示为系统主程序的流程图。图4.1 系统主程序流程图4.3 显示驱动程序显示驱动程序在进入中断后首先要对定时器重新赋初值,以保证显示屏

23、刷新率的稳定。16行扫描格式的显示屏刷新率(帧频)的计算公式如下: 其中:其次,显示驱动程序查询当前点亮的行号,从显示缓存区内读取下一行的显示数据,并通过串口发送给移位寄存器。为消除再切换行显示数据时产生拖尾现象,驱动程序先要关闭显示屏,即消隐,等显示数据输入输出锁存器并锁存后,再输出新的行号,重新打开显示。如图4.2所示为显示驱动程序(显示屏扫描函数)流程图。图4.2 显示驱动程序流程图5 调试及性能分析5.1 硬件调试LED显示屏硬件的调试应正确按原理图连接好,通电试验即可。5.2 软件调试软件需要调试的主要由显示屏刷新率即显示效果两部分。显示屏刷新率有定时器的溢出率、单片机的晶振频率决定

24、,表7.1给出了实验调试时采用的频率及其对应的定时器的初值。表7.1 显示屏刷新率(帧频)与T0初值关系表(24MHz晶振时)刷新率/Hz255062.57585100120T0初值0xec780xf63c0xf8300xf97e0xfa420xfble0xfbee5.3 性能分析从理论上来说,24Hz以上的刷新率就能看到连续稳定的显示,刷新率越高,显示越稳定,但显示驱动程序占用的CPU时间也越多。实验证明,在目测条件下,刷新率在40Hz以下的画面看起来闪烁较严重;刷新率在50Hz以上的已基本察觉不出画面闪烁;刷新率达到85Hz以上时,即使再增加刷新率,画面闪烁也没有明显改善。4个16X16点

25、阵LED图文显示屏,电路简单,成本较低,且可方便地扩展成多字的显示屏。显示屏个点亮度均匀、充足,显示图形或文字稳定、清晰,可用静止、移入移出等多种显示方式显示图形或文字。5.4 程序说明; 4个16X16点阵电子屏字符显示器 ; AT89C51 12MHz晶振 ;显示字用查表法,不占内存,用4个16X16共阳LED点阵显示;效果:向上滚动显示字,每次4个,重复循环;R2:行扫描地址(000FH);R3:滚动显示时控制移动速度,也可控制静止显示的时间;中断入口程序 ORG 0000H LJMP START ORG 0003H RETI ORG 000BH LJMP INTT0 ORG 0013H

26、 RETI ORG 001BH RETI ORG 0023H RETI ORG 002BH RETI;主程序 START: MOV 20H,#00H ;清标志,OOH为1帧扫描结束标志 MOV A,#0FFH ;端口初始化 MOV P1,A MOV P2,AMOV P3,A MOV P0,A CLR P1.6 ;串行寄存器输入/输出端控制位 MOV TMOD,#01H ;使用T0作16位定时器,行扫描用 MOV TH0,#0FCH ;1ms初值(12MHz) MOV TL0,#18H MOV SCON,#00H ;串口0方式传送显示字节 MOV IE,#82H ;T0中断允许,总中断允许 MO

27、V SP,#70HLCALL DISI ;显示准备,黑屏,1.5sMAIN: MOV DPTR,#TAB LCALL MOVDISP ;逐排显示,每次4字 MOV DPTR,#TAB LCALL MOVDISP1 ;滚动显示,每排4字 AJMP MAIN;4字逐排显示子程序;每次4字移入移出显示方式,入口时定义好DPTR值MOVDISP: MOV R1,#6 ;显示6排字,每排4字(R1=排数)DISLOOP: MOV R3,#100 ;每排显示时间为16msX100=1.6sDISMOV: MOV R2,#00H ;第0行开始 SETB TR0 ;开扫描(每次一帧)WAITMOV: JBC

28、00H,DISMOV1 ;标志为1,扫描一帧结束(16ms为1帧,每行1ms)DISMOV1: DJNZ R3,DISMOV ;1帧重复显示(控制显示时间) MOV A,#128 ;显示字指针一排(每排4字X32=128字) ADD A,DPL MOV DPL,A MOV A,#0 ADDC A,DPH MOV DPH,A DEC R1 ;R1为0,显示完 MOV A,R1 JZ MOVOUT AJMP DISLOOPMOVOUT: RET ;移动显示结束; 4字滚动显示子程序;每排4字向上移出显示方式,入口时定义好DPTR值;MOVDISP1: MOV R1,#255 ;向上移动显示6排字,

29、每排4字(R1=排数X16)DISLOOP: MOV R3,#10 ;移动速度为16msX10=0.16sDISMOV2: MOV R2,#00H ;第0行开始 SETB TR0 ;开扫描(每次一帧)WAITMOV1: JBC 00H,DISMOV3 ;1帧重复显示(控制移动速度) INC DPTR ;显示字指针移一行(2字节位置) INC DPTR DEC R1 ;R1为0,显示完 MOV A,R1 JZ MOVOUT1 AJMP DISLOOP1MOVOUT1: RET ;移动显示结束; 4字显示子程序;静止显示表中某4字DIS1: MOV R3,#5AH ;静止显示时间控制DIS11:

30、MOV R2,#00H ;一帧扫描初始值(行地址000FH) MOV DPTR,#TAB ;取表首址 SETB TR0 ;开扫描(每次一帧)WAIT11: JBC 00H,DIS111 ;标志为1,扫描一帧结束 AJMP WAIT11DIS111: DJNZ R3,DIS11 RET;扫描文字表;共5排字,每排4个子,前后为黑屏TAB: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH;黑屏 DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFHDB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH;黑屏DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFHDB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1