ImageVerifierCode 换一换
格式:DOCX , 页数:49 ,大小:845.35KB ,
资源ID:9658816      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9658816.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(Multisim 自己写的.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

Multisim 自己写的.docx

1、Multisim 自己写的第一章 Multisim 9 系统1.1 Multisim 9 主窗口 安装Multisim9后运行程序,出现Multisim9主界面,如图1.1所示。图1.1 主界面1.2Multisim9 的主要工具栏(1)菜单栏Multisim9的界面与Windows应用程序一样,可以在主菜单中找到各个功能的命令,如图1.2所示。图1.2 菜单栏其中包括:文件操作菜单(File)如图1.2.1 编辑操作菜单(Edit)如图1.2.2 视图菜单(View)如图1.2.3 Place菜单 如图1.2.4 仿真菜单(Simulate)如图1.2.5 传递菜单(Transfer)如图1

2、.2.6 工具菜单(Tools)如图1.2.7 设置菜单(Options)如图1.2.8 窗口菜单(Window)如图1.2.9 帮助菜单(Help)如图1.2.10 图1.2.1 图1.2.2 图1.2.3 图1.2.4 图1.2.5 图1.2.6 图1.2.7 图1.2.8 图1.2.9 图1.2.10(2)工具栏 A系统工具栏 如图1.3所示,其功能如图1.4所示。图1.3 系统工具栏 新建文件 打开文件 保存 剪切 复制 粘贴 打印 帮助 放大 缩小图1.4 系统工具栏的各项功能 B设计工具栏如图1.5所示,其功能如图1.6所示。图1.5 设计工具栏 Components Compon

3、ents Editing Instruments Simulate Analyses Post Processor VHDL/Verilog HDL Reports Transfer 图1.6 设计工具栏各项功能(3)元件栏 元件工具栏是缺省可见的,如果不可见,可以通过单击设计工具栏的Components按钮得到,如图1.7所示。图1.7 元件栏菜单 以基本元件库为例,单击该按钮则会出现 如图1.8所示对话框。其中包括了现实元件和虚拟元件(带绿色衬底的部件)。基本元件库内含有:普通电阻,普通电容,电感,定值虚拟元件,开关,插座,连接器,继电器,变压器,可变电容,电解电容,可变电感和电位计等元器

4、件。 图1.8然后再单击某个相应的元件组,就会出现类似于图1.9的对话框。 图1.9需要注意的是:在元件组界面中,主数据库(Multisim Master Database)是默认的数据库,如果希望从Corporate或者User中选择一个元件,必须单击数据库下拉菜单中的数据库,选择一个元件。如果所需元件在实际元件库中没有找到,则应使用虚拟元件库中的可定值组件。单击虚拟元件组则所需组件会随鼠标移动到指定位置,单机即可把器件放到电路窗口中,双击原件就会出现如图1.10所示对话框。 图1.10 在该对话框中可以修改,拟定我们所需器件值。其它元件库及所包括的组件:(A)信号源库(Sources) 包

5、含各类交、直流电源、受控源、调幅、调频源、时钟源、分段线性源以及线性相关源等。如需要添加信号源,单击信号源图标,出现下拉菜单,从中选择所需的信号源即可。(B)基本元件库(Basic)包含各类基本器件如各种固定或可调式的电阻、电容、电感、继电器、开关(受控开关)、磁芯、非线性变压器等。如需要其中器件单击相应图标,出现下拉菜单,从中选择所需器件即可。(C)二极管库(Diodes)包含普通二极管、稳压二极管等各类二极管及几种常用的可控硅。如需要其中器件单击相应图标,出现下拉菜单,从中选择所需器件即可。(D)晶体管库(Transistors)包含各类晶体管三极管和各类场效应管。如需要其中器件单击相应图

6、标,出现下拉菜单,从中选择所需器件即可。(E)模拟集成电路库(Analog ICS)包含各类模拟集成运放、电压比较器、锁相环等。如需要其中器件单击相应图标,出现下拉菜单,从中选择所需器件即可。(F)混合器件库(Mixed ICS):包含各类集成A/D、D/A转换器、555电路、单稳态触发器等。如需要其中器件单击相应图标,出现下拉菜单,从中选择所需器件即可。(G)数字集成电路库(Digital ICS)包含各类数字集成电路,如74系列、4系列等。如需要其中器件单击相应图标,出现下拉菜单,从中选择所需器件即可。(H)逻辑门(Logic Gates)包含各种逻辑门、缓冲器、施密特触发器。如需要其中器

7、件单击相应图标,出现下拉菜单,从中选择所需器件即可。(I)数字器件库(Digital)包含各类数字器件,如各类加法器、触发器、计数器、编码器、分配器、选择器及寄存器等。如需要其中器件单击相应图标,出现下拉菜单,从中选择所需器件即可。(J)显示器件库(Indicators)包含电压表、电流表、各种发光器件、及各类数码管、蜂鸣器、条形光柱等。如需要其中器件单击相应图标,出现下拉菜单,从中选择所需器件即可。(K)控制器件库(Controls)包含微分、积分器、乘法器、除法器、函数传递模块、各种限幅器等。如需要其中器件单击相应图标,出现下拉菜单,从中选择所需器件即可。(L)其他器件库(Miscella

8、neous)包含熔段器、数据写入器、SPICE子电路、直流电机、真空三极管、开关电源升、降压转换器、均匀传输线如石英晶体等。(4) 仪表栏 如图1.11所示,仪表工具栏是进行虚拟电子实验和电子设计仿真最快捷而又形象的特殊窗口,也是Multisim最具特色的地方。 图1.11 仪表栏下面以函数发生器为例简单介绍一下仪表工具的使用方法 函数发生器(Function Generator)函数发生器是一种能够提供正弦,三角和平方波形的电压源。波形的频率,幅度等均可以控制。函数发生器的图标如图1.12所示。 图1.12 图1.13函数发生器有三个端与电路连接,公共端为信号提供一个参考水平。双击图标会,打

9、开其操作版面,可以用来设置其输出信号波形和各种相关参量。函数发生器操作版面如图1.3 所示。其它仪表工具的使用方法与函数发生器的使用方法相同。使用者可自行操作。第二章 Multisim 9 的基本操作2.1 制定用户界面我们可以指定Multisim 9的界面,包括工具条,电路颜色,页面尺寸,符号系统等项,制定设置和电路文件将一起被保存。制定用户界面的目的在于方便原理图的创建,电路的仿真分析和观察理解。所以,在创建一个电路之前,最好根据具体电路的要求和用户的习惯设置一个特定的用户界面。制定用户界面主要由Preferences对话框中的各项选选择功能实现。启动Options菜单中的Preferen

10、ces命令,就会出现如图2.1所示的Preferences的对话框。该菜单包含6项:Component Bin,Font,Miscellaneous,Circuit,Workspace,Wiring。下面将具体介绍各个设置乡的内容。ACircuit页 如图2.1Show区:设置原件及连线上所要显示的文字项目等。Color区:设置编辑窗口内各原件和背景的颜色。 图2.1BComponent Bin页 如图2.2Symbol standard区:选取所采用原件符号的标准,ANSI为美国标准,而DIN为欧洲标准。由于我国电器原件符号标准与欧洲标准相似,故选择DIN较好。CWorkspace页 如图2

11、.3DFont页 如图2.4图2.2图2.3图2.4图2.5EMiscellaneous页 如图2.5FWriting页 如图2.6 该页用来设置电路导线的宽度与连线的方式。 图2.62.2 元件的操作使用2.2.1 从元件工具栏的元件库中选用选取元件最直接的办法是从元件工具栏的元件库中选取。选取元件时,一般首先要知道该元件属于哪个元件库,选中就会出现类似于如图1.9所示的对话框,然后再在该元件库中找所需要的元件,单击该元件,然后点击OK按钮,用鼠标拖拽元件到电路工作区的适当地方即可。2.2.2 启动放置元件的命令菜单使用放置元件命令的方式来选取元件,只要启动Place-Place Compo

12、nent命令,便会出现同元件选取一样的对话框,其操作方法也相同。在设计中,经常用到各式各样参数的器件,部分参数的器件在实际元件库中找不到,这样只有使用虚拟元件库中的器件,对于虚拟元件,用户可直接修改其中的一些参数,这样给设计分析带来极大的方便。由于虚拟元件步接近现实值,所以在实际元件库有的情况下,尽量使用实际元件库中的元器件,这样方针结果会更接近事实!2.2.3 元器件的操作A元件的移动单击某个元件或者你选中的一组元件(左键不松开),拖拽该部分元件即可移动该元件,元件被移动后,与其相连接的导线就会自动重新排列。选中元件后,也可以用箭头键时只有微小的移动。B元件的旋转于反转要对元件进行旋转或反转

13、操作,只需选中该元件,然后单击鼠标右键或者选择菜单Edit,在选择菜单中的90 Clockwise(顺时针旋转90度)或者90 CounterCW(逆时针旋转90度)。也可以使用Ctrl键实现旋转操作。C元件的复制,删除对元件进行复制,移动,删除等操作,可以使用菜单Edit-Cut(剪切),Edit-Copy(复制)和Edit-Paste(粘贴),Edit-Delete(删除)等菜单命令来实现操作。D元件标签,编号,数值,模型参数的设置选中元件后,双击该元件,会谈出相关的对话框,便可输入可以要修改的数据。2.3 导线与连接点的操作2.3.1 线路的连接A两元件之间的连接。只要将光标指针移进所要

14、连接的元件一脚,光标指针自动变为十字形。单击并拖动指针到另一元件的引脚,单击左键,两器件即连接完成。B元件与某一线路的中间连接,做法同上。如图2.7所示。C在导线中插入元件。可直接将元件拖拽到导线上,援建即可完成插入。 图2.72.3.2 导线和连接点颜色的操作为了使电路各连线及连接点之间清晰可辨,可通过设置不同颜色来区分,方法是:将光标指向连线或者连接点,单击右键出现快捷菜单。选择Color命令打开颜色设置对话框,选各取所需的颜色,然后单击OK即可。2.3.3 删除导线和连接点如果要删除连接点,则将光标指向所要删除的连接点,单击右键选取该点,此时将自动打开如图2.8所示的对话框,选择Dele

15、te即可,或者电机键盘上的Delete键也可以实现。 图2.8 2.3.4 弯曲导线的调整如图2.9所示,元件与导线不在同一条直线上。可以选中元件,然后用键盘上的四个箭头键微调元件的位置。这种微调方法也可以用于对一组选中的元件进行调整。 图2.9 2.3.5 输入输出端点的操作在Multisim内,连接线路必须是引脚对引脚,或引脚对线路,而不能把线路的任何一端悬空。不过,对于电路的输入/输出端而言,线路的一段本来就是悬空的,所以我们必须放置一个输入断电或输出端点。其步骤如下:1.启动Place菜单中的Place Input/Output命令,即可取出一个浮动的输入输出端点,一到适当位置后单击,

16、即可将其固定。2.此时可以把输入/输出端看作一般的元件来进行适当的连接处理,如改变其名称,旋转,翻转或改变颜色甚至删除元件等。2.4 子电路的创建与调用在电路图的创建过程中经常会遇到这样两种情况:一是电路规模很大,全部显示在屏幕上很不方便:二是电路的某一部分在一个电路或多个电路中多次使用。在这样的情况下,我们通常可以将电路的某一部分全程一个模块间上适当的引脚的形式,这样使用起来十分方便,子电路就是这样一个模块。在Multisim中创建与使用子电路很简单,其基本过程如下:1.建立子电路部分的电路图,与其余电路部分相连的端子上必须连接输入输出端符号。如图2.10示为一个半加器电路。2.按住鼠标左键

17、,拉出一个长方形,把用来组成西电路的部分完全选定。 图2.103.启动Place菜单中的Replace by Subcircuit,打开如2.11所示Subcircuit对话框。在其编辑栏内输入子电路名称,如BJ,单击OK按钮即得到如图2.12所示子电路。 图2.11 图2.124.取出子电路移至适当位置后,双击会出现2.13所示对话框。可以在RefDes栏内输入子电路的序号。如点击Edit Subcircuit则进入该子电路内重新编辑。在同一个电路中,可以使用多个相同或不同的子电路。 图2.132.5 输入文本我们常常在设计电路后要给文件添加标题栏,某局部电路或器件的说明文字等,以帮助我们对

18、电路图进行正确的理解。在Multisim中放置文字时输入中英文均可,其基本步骤如下:1. 启动Place菜单中的Place Text命令,然后单击所要放置文字的位置,将在该处放置一个文字块,如图2.14所示。当电路窗口背景为白色时,文字块的边框不可见。 图2.142. 在文字块中输入要放置的文字,文字块的大小会随着文字的多少自动缩放。输入完成后,单击文字框以外的的地方,即可得到相应的文字,而文字块边框会自动消失。3. 改变文字的颜色。将指针指向该文字块,单击右键出现快捷菜单,选取Color命令,在Color对话框中改变文字颜色。4. 移动或删除文字。将指针指向文字,按住左键,再移动之目的地后放

19、开左键即可完成文字的移动。如要删除文字,则先选取该文字块,单击右键打开快捷菜单,选取Delete命令,或直接按键盘上的Delete键。第三章 电路仿真实例操作前面介绍了Multisim 9的基本界面及操作,下面对一个实际电路进行仿真,详细介绍Multisim的仿真过程,其中包括电路窗口的设置,元件的调用,电路的连接,虚拟仪表的使用和电路分析方法等内容。电路图如图3.1所示。3.1 编辑原理图编辑原理图包括建立电路文件,设计电路界面,放置元件,连接线路,编辑处理及保存文件的步骤。(1)建立电路文件启动Multisim 9系统,则在Multisim基本界面总会自动打开一个空白的电路文件,在Mult

20、isim 9正常运行时只需单击系统工具栏中的New按钮,同样将会出现一个空白的电路文件,系统将自动命名为Circuitl, 可以在保存其电路文件时再重新命名。(2)设计电路界面初次打开Multisim 9的时候,Multisim 9仅提供一个基本页面,新文件的电路窗口是一片空白。针对某个具体文件时,我们应当考虑设计一个富有个性的电路界面。对本例则有如下操作:选取Options中的Preference选项。如图2.2所示。 图3.1a.选择Component Bin页中的Symbol standard前区内的DIN项。b.选择Workspace页中的Show区内的Show Grid项,在电路窗口

21、中将出现栅格,使用栅格可方便元件之间的连接,使创建的电路图整齐,美观。经过上面简单的设置,电路界面就设置好了,如图3.2所示。 图 3.2 电路界面(3)在电路窗口中放置元件a.放置电阻单击元件工具栏上的Basic元件库按钮,基本元件库即可被打开,如图3.3左图所示,在其元件库中选择电阻箱按钮即可打开如图3.3右图所示的对话框,从图中可以看出,该元件库中有个电阻箱按钮,里边存放着实际存在的电阻元件,其组织符合实际标准,如3.3K,6.3K及3.9K等。这些元件通常在市场上都可以买到,该电阻箱被称为实际电阻箱。而像3.4K和3.5K等非标准电阻元件,在实际电阻箱中是不会有的。在Basic中选择优

22、绿色衬底的电阻即可,单击后元件就会跟随鼠标移到相应的位置,在适当的位置单击左键即可固定该元件,元件固定后,双击元件即可得到如图1.10所示的Virtaul Resistor对话框,在该对话框中可以任意修改元件参数值。习惯上称这个电阻箱为虚拟电阻箱。 图3.3为了与实际电路接近,我们应尽量使用符合实际标准的电路元件。也就是说,我们应该尽量从实际电阻箱中选取电阻。b.放置电容与前述选择电阻的方法和步骤相同,首先在现实电容箱内选取,若现实电容箱内没有,则可以调用虚拟电容。c.放置500K的电位器电位器是一个三端元件,在基本元件库中也有现实和虚拟两个电位器元件箱。打开显示元件箱对话框如图3.4所示,选

23、择500KLIN电位器,其余操作与电阻,电容相同,也可对它的参数进行更改。 图3.4d.放置9伏的直流电源直流电源为放大电路提供电能。Multisim 9环境下的这个电压源可直接从Sources元件库中选取。单击Sources元件库按钮后,会出现若干个电源箱,一个接地端,一个数字接地端。如图3.5所示。可选用的直流电压源有两种,前者是一个电压值可设的理想电压源,而后者是直流电压源的简化表示形式,主要用于数字电路中。这里选用简化表示形式电压源Vcc,单击它即可取出。如果电压值不符合要求,双击已经放置在电路窗口的该电源符号,在打开的Digital Power属性对话框中进行设置,然后点击确定按钮即

24、可。如图3.6所示。 图3.5 图3.6e.放置交流信号源与放置直流电源相似,单击Sources库中的交流信号源图标,带出一个参数为1V/1000HZ/0Deg的交流信号源,放到电路窗口适当的位置上。本例要求用的是0.1V/1MHZ/0Deg,双击电路窗口中该信号源符号,出现如图3.7所示SIGNAL_VILTAGE_SOURCES对话框,进行修改设置,然后点击确定键即可。 图3.7f.放置NPN晶体管NPN晶体管是放大电路的核心,单击晶体管库图标,出现若干个显示元件箱和一个虚拟元件箱,选择其中一个,打开如图3.8所示的对话框。 图3.8找出相应的晶体管型号(本例是2N2222A),单击OK按

25、钮,将其放到电路中的制定位置上。g.放置接地端对于一个电路来说,接地端就是一个公共参考点,这一点的电位值是0V。一般来说,一个电路必须有一个公共参考点,而且只能有一个。如果一个电路中没有接地端,通常不能有效地进行仿真分析。调用接地端非常方便,只需点击Sources元件库中的接地按钮再将其拖出即可。h.放置文字说明前面已经讲过,参看2.5节。放置完全后的电路窗口如图3.9所示。 图3.9Multisim 9界面上的In Use List栏列出了电路所使用的所有元件的种类,如图3.10所示。使用它可以检查所调用的元件是否正确。(4)连接线路 放置完元件后,需要对其进行线路连接,其操作步骤参见2.3

26、节。连接后的电路图如图3.1所示。图3.10(5)对电路图进一步编辑处理为了使电路窗口中医编辑的电路图更整洁,更便于仿真分析,可以对电路图进行编辑处理。a. 修改元件的参数元件的参数序号是在元件选取时由系统自动给定的,但有时与我们的习惯表示不同,如别人那里中的R3习惯应表示Rc,可以通过双击该元件符号,在其属性对话框中修改其参数序号。如图3.11所示,将Label页上的RefDes栏内的R3改为Rc。 图3.11b. 调整元件和文字注释的位置如对某些元件放置的位置不满意,可以调整其位置。方法是:选中所要移动的元件,要大幅度的移动,可通过使用鼠标直接拖拽到理想位置,若要小幅度的移动,可使用键盘上

27、的箭头键进行移动。c. 显示电路的节点号电路元件连接后,系统会自动给出各个节点的序号。但有时这些节点好并未出现在电路图上,这时可启动Options菜单中的Preferences命令,打开对话框。然后打开Circuit页,选中Show栏内的Show node name项,如图2.1所示。d. 修改连线的颜色修改电路中某些元件或连线的颜色,将是电路更加清晰可辨。方法之一就是:指针指向该元件或连线,单击右键出现下拉菜单,选择Wire Color项,再探出的对话框中选取适当的颜色。e. 删除元件或连线对电路中不需要的元件或连线可以删除,方法是:选中要删除的元件或连线,单击右键选取Delete项,或者直

28、接用键盘上的Delete 键进行删除。万一删错,可启动Edit菜单中的Undo命令将其恢复。另外,当删除一个元件时,与该元件相连接的连线也会一并消失,但是删除连线不会影响到元件。f. 保存文件编辑电路图后可以将其换名保存,方法以保存一般文件相同。对本例,原来系统自动命名为Circuitl,现将其重命名为“反馈放大电路”,保存类型自动默认为Multisim/Multicap Files(*.ms9),并保存在适当的路径下。3.2 电路仿真分析编辑电路原理图之后,还要对所编辑的电路进行仿真分析。 图3.12首先需要从窗口右边的仪表工具栏(Instruments Toolbar)中调出一台两通道示波

29、器和一台波特图示仪。与元件的连接方式相同将示波器的A端接输出信号,B端接输入信号源,如图3.1所示。双击电路图窗口中的示波器图标,既可开启示波器面板,如图3.12所示。从图中可以看出,该示波器的界面与实验室里的常用示波器的版面很相似,其基本操作方法也差不多。启动电路窗口右上角的电路仿真开关,示波器屏幕上建会产生输入输出两个波形。为了看得比较清楚,可适当调节示波器界面上的基准时间(Timebase)和A,B两通道(Channel)中的Scale值,以方便观察。电位器旁边标注的文字“Key=A”表明安键盘上的A键,电位器的组织将按5%的速度增加,若要减少,则按Shift+A,组织将以5%的速度减少

30、。电位器变动的数值大小直接以百分比的形式显示在一旁。启动仿真开关后,反复按键盘上的Shift+A,观察示波器波形变化。随着一旁显示的电阻值百分比的减少,输出波形产生截至失真越来越严重,波形如图3.13所示。 图3.13反之,反复按A键,观察波形变化。随着电阻值百分比的增加,输出波形的截至失真越来越小,当数值百分比适当时,输出波形已不再失真,电路正好处于放大状态,波形如图3.14所示。如果继续按A键,即徐徐增大电位器的阻值,从示波器可以观察到输出电压产生了饱和失真,波形如图3.15所示。 图3.14 图3.15在Multisim 9的环境下,还可以应直流电压表和直流电流表来测量静态工作点,但是利用直流静态工作点分析会更简单,快捷。启动Simulate中的Analysis子菜单下的DC Operating Point命令,打开如图3.16所示的DC Operating Point Analysis对话框。图3.16在Output页中,选择需要仿真的变量。所供选择的变量一般包括所有的节点的电压和流经电压源的电流,全部列在Variables in circuit栏中。选中所要仿真的变量,点击Add按钮,则将这些变量移至右边栏中。如要删除已经移至右边的变量,可选中变量,点击Remove按钮即可。对本例,不妨把所有变量都选中,然后点击Simula

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1