ImageVerifierCode 换一换
格式:DOCX , 页数:34 ,大小:683.71KB ,
资源ID:9647557      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9647557.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的微波炉控制器毕业作品.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的微波炉控制器毕业作品.docx

1、基于FPGA的微波炉控制器毕业作品毕设业计(20 届)基于FPGA的微波炉控制器所在学院 专业班级 电子信息工程 学生姓名 学号 指导教师 职称 完成日期 年 月 摘 要本文主要介绍了一个微波炉控制器,分别由基本原理和各个模块功能来介绍,并采用了VHDL语言实现了这种控制器的程序设计本次设计采用分成多个模块来进行运行和仿真,这种方法能及时发现发现子模块和系统中的错误并加以修改,从而提高系统设计的效率。该微波炉控制器程序利用Quartus工具软件完成了编译仿真验证,共分为四个模块:数据装载模块,其功能是根据控制信号选择定时时间,测试数据或计时完成信息的载入;烹饪计时模块,其功能是对时钟进行减法计

2、数,提供烹饪完成时的状态信号;显示译码模块,其功能是显示微波炉控制器的各状态信息;状态控制模块,其功能是控制微波炉工作过程中的状态转换,并发出相关控制信号。该微波炉还具有解冻、烹调和烧烤三种不同的功能。而功率调整的控制方法是通过对磁控管间歇通电来实现的。所有的功能都分别用VHDL语言一个模块一个模块的进行编程实现,先实现其部分功能,再用Quartus II软件进行编译仿真。关键词:FPGA;VHDL语言;控制器AbstractThis paper describes a microwave oven controller, respectively, from the basic princi

3、ples and functions of each module to introduce and use of VHDL language programming of this controller uses this design to be running into multiple modules and simulation, this Method can detect sub-module and the system found the error and modify to improve the efficiency of system design. The micr

4、owave oven controller software tools program used to complete the Quartus compiled simulation , Divided into four modules; Data loading module, its function is to select the time control signal based on time, test data or information included time to complete; Cooking time module, its function is to

5、 subtract the clock count, the state provides cooking completion signal;Display decoding module, its function is to display status information of each microwave oven controller;State control module, its function is to control the microwave oven in the process of state transition work, and issued the

6、 relevant control signals;The microwave oven also has the thawing, cooking and barbecue three different functions.The power adjustment control method is intermittently energized by the magnetron to achieve. All the functions are, respectively,VHDL, a module with a module of the programming, the firs

7、t to achieve some of its functions, and then compile the Quartus II software simulation.Key Words :FPGA; VHDL language;controller1 引言1.1产品的背景介绍Percy L.Spencer 早在1946年提出了微波炉的概念,并在1950年取得了专利。微波炉的运作机制为微波在水中能产生摩擦热的原理。早期的微波炉尺码庞大,重量超过300公斤,高度超过1.5米,因此主要只局限在大型餐厅和食物市场使用。第一部家用微波炉是在1965年由Raytheon集团生产的1。1.2国内产

8、品发展的现状如今,中国已成为全球最大的微波炉生产基地,据统计,2010年中国微波炉年产量已达7000万台左右,从2009年中国市场的需求量来看,约在5000万台左右。中国微波炉市场经过前几年的洗牌,已由几年前的300多家减少至目前的100多家,其中在市场上可统计的仅30余家2。洋品牌在早期的微波炉市场,几乎占据了绝大部分的份额。但初期由于微波炉市场属于导入期,价格高,能接受的消费者少,自1996年以后,惠而浦等大部分都退出了中国市场,主要以出口为主。自1998年后,外资品牌中以韩国品牌LG、三星表现较为突出,逐渐跨入第2、第3名,微波炉第一品牌为格兰仕。2000年,美的介入,迅速崛起成为行业第

9、三,三星也逐渐退出市场。据中怡康统计资料显示,2001年,除LG外,其他洋品牌占有率均低于4,其中三星为383,松下为267,惠而浦为141,格兰仕为538。目前,国内微波炉的年销售大约为5000万台左右,近两年需求的增幅几乎没有较大的波动。业内人士认为,这是微波炉制造商谋求转型、转型加速的背景,微波炉已经度过普及阶段。国务院市场发展研究中心副主任陆刃波认为,格兰仕微波炉的发展是一条扩大规模和降低成本相辅相成的发展战略。随着规模的不断壮大和市场洗牌的最终完成,微波炉市场正在由粗犷的价格战转移到市场细分的和平时代。而据有关营销专家分析,在新型微波炉的强势冲击下,未来烘烤机、榨汁机等一些单一功能的

10、厨房电器的竞争力很可能会可能被削弱3。如同黑电3C化融合趋势一样,微波炉不断吸附融合其他厨电功能,向全新的微波炉概念转型,形成台和摄像头的工作原理后,通过Visual Studio 2008集成开发环境对基于Windows Mobile系统操作平台的摄像头实现开发应用4。包括如何通过智能设备上的摄像头获取图像信息,如何设置图像信息的存储路径,更改图像信息的存储文件名,设置图像信息的存储大小,设置图像信息的质量和滤镜,最后再在模拟器和真机上调试程序,达到对摄像头进行仿真和测试的目的。厨房综合体似的概念。微波炉是第三次革命的一个重要结晶,将逐步发展成为厨房的综合型设备。1.3产品的发展趋势随着科学

11、技术的进步,电子技术、传感器技术以及材料技术近年来得到了很大的发展。国内外微波炉研发机构和生产工厂,为了满足各类消费者的日常需求,将各种先进的现代化技术应用于微波炉,并推出了一系列新颖先进的微波炉产品。微波炉更加智能化,采用微电脑控制技术和传感器感测技术,实现微波炉的智能化加热烹调,是微波炉技术发展的一大方向。这种智能化微波炉,无需使用者在操作按键上输入烹调时间、加热功率、食物重量等参数,只要按一下启动按键,微波炉内的传感器就将检测到的食物温度、蒸汽湿度等参数不断输出给微电脑控制芯片,微电脑控制芯片进行一系列的运算、比较和分析之后,输出相应的指令,自动控制微波炉的加热时间和功率大小,实现微波炉

12、的智能化全自动化烹调5。随着模糊控制技术的研究、推广和应用,各种专业用途的模糊控制芯片不断推出,使得微波炉的智能化自动控制技术水平也大大提高。1.4 微波简介微波一般是指波长在1mm1m范围的电磁波。由于这种电磁波与无线电台所应用的电磁波相比波长很小,所以被称为微波。微波的频率在300MHz300GHz之间,但为了防止民用微波能技术对军用微波雷达和通讯广播的干扰,国际上规定供民用的微波频率为915MHz、2450MHz、5850MHz、22125MHz四种,目前915MHz和2450MHz这两个频率已广泛被微波干燥和微波加热所采用。从实质上讲,微波也是一种能量形式,在电介质中可以转化为热量。能

13、量转化的机理有许多种,如离子传导、偶极子转动、界面极化、磁滞、压电现象、电致伸缩、核磁共振、铁磁共振等,微波加热主要利用了离子传导及偶极子转动。2 微波炉控制器的实现方案2.1微波炉控制器的功能微波炉控制器部分完成各工作状态之间的切换功能。微波炉控制器具有定时和信息显示的功能,能够在任何时刻取消当前的工作,复位为初始状态,可以根据需要设置烹调时间的长短,系统最长的烹调时间为59分59秒,开始烹调后能够显示剩余时间,显示烹调工作状态。2.2实现方案比较2.2.1基于单片机控制的微波炉控制器的设计利用单片机多中断源的协调处理能力,通过中断接受键盘送来的信号,确认功能设置,实现数据装入,同时接收时钟

14、芯片的脉冲信号作为基准信号,完成计时任务。其次,从CPU根据主CPU发出的信号控制语音播报、远程操作等功能。由于单片机的功能比较大,几乎各种品牌的MCU都可以做到这一功能,最多是外围的器件用得多少而已,PIC型的、ATMEL的89系列的或是AVR系列的等等都行。图3-1为该微波炉控制器的框架图。功能设置部分数据装入部分 定时部分显示部分声音控制部分图3-1 基于单片机的微波炉控制器设计系统图2.2.2 采用模糊设计实现微波炉控制器的设计微波炉采用模糊控制的方法能够自动地推断出食物的重量和烹调时间,从而实现了食物烹调和解冻的智能控制,并且保证了烹调的质量,而信息技术的应用,使得那些追求变化用户可

15、以通过下载由专业人员编写的各种新型菜谱,直接选择烹调方式,并由上位机轻松地控制微波炉的工作,进一步提高了微波炉的智能化水平8。微波炉模糊控制器采用单片机与模糊控制理论相结合的方法,根据食物的温度和湿度模糊推理出烹调时间,操作者只需输入所烹调食物的种类即可完成所有操作,避免了因经验不足、设定时间不准而造成的烹调失败。在实现中,可以采用FPGA,软件部分采用VHDL描述语言进行逻辑功能设计,再通过图形输入的方法实现逻辑模块的连接,最终完成软件设计。硬件部分通过可编程器件来实现。先将程序下载到专用CPLD芯片上,再通过外接硬件电路完成,设计简单,成本较低。采用单片机设计功能丰富,产品可以实现轻便化、

16、使用灵活。采用ANFIS设计系统在企业生产的实际应用中取得了良好的效果,对企业降低成本、减少办公费用和建立良好的企业形象都气了巨大的作用,在分布式开发环境方面,留有较大的余地,等待我们去填补。然而用EDA工具进行电子系统设计不仅缩短了设计周期,降低了成本,而且设计出来的系统速度更快、体积更小、重量更轻、功耗更低,从而满足现代电子系统发展的需求。2.2.3 采用FPGA设计实现微波炉控制器的设计用FPGA(现场可编程门阵列)实现,遵循的是自顶向下的设计思想,采用VHDL硬件描述语言设计实现微波炉的的各个控制模块。通过VHDL编程实现各底层模块的功能,顶层设计用原理图输入法完成。微波炉控制器系统主

17、要由数据装载模块,计时器模块,显示译码模块,控制器模块四个模块组成。应用FPGA芯片和VHDL设计的微波炉控制器系统,硬件电路简单,开发周期短,成本低,同时也能提高系统的可靠性和精度,并使系统更加灵活,便于修改。因此我采用了FPGA设计来现微波炉控制器的设计。3 基于FPGA的微波炉控制器的设计3.1系统设计总体方案微波炉控制器系统主要由数据装载模块,计时器模块,显示译码模块,控制器模块四个模块组成。图3-1 基于FPGA的微波炉控制器系统图首先输入一个时间信号由数据装载模块进行装载,然后经过烹饪计时模块再通过显示译码模块进行编译最后通过数码管显示,而数据装载模块,烹饪计时模块,显示译码模块同

18、时由控制状态模块控制。输入端有,复位,测试,设置时间,加十位,加个位,解冻,烹调,烧烤按键,该微波炉具有解冻、烹调和烧烤三种不同的功能。而功率调整的控制方法是通过对磁控管间歇通电来实现的。3.2微波炉控制器各个模块的功能 1)数据装载器ZZQ模块的功能是根据KZQ发出的控制信号选择定时时间、测试数据或烹调完成信息的装入;2)计时器JsQ模块的功能是负责烹调过程中的时间递减计数,并提供烹调完成时的状态信号供KZQ产生烹调完成信号;3)显示译码器YMQ47模块的功能就是负责将各种显示信息的BCD转换成七段数码管显示的驱动信息编码。需要译码的信息有: 数字o9,字母d、o、n、 E。4)状态控制器K

19、ZQ模块的功能是控制微波炉工作过程中的状态转换,并发出有关控制信息;输入信号为CLK、TEST、START、sETT、RESET和DONE,输出信号为LD-DONE、LDCLK、LD一8888和C00K信号9;3.3 系统测试与分析测试采用windowsXP系统的计算机,系统存在的误差主要为加热倒计时器的计时误差与日期/时钟系统的走时误差。而由于加热倒计时器与日期/时钟系统均是MCU定时器产生的1Hz时钟脉冲驱动,该时钟是误差的最主要来源。如(式3-1)所示,由于选用的晶振数值为22.1184MHz,定时器周期和1Hz的标准时钟不成整数倍关系,计数器预制数的近似选择,势必引入计算误差10。根据

20、所选择的参数不难算出系统产生时钟与标准1Hz时钟之间存在的误差为: =(28- 27) 8049 - 1 0.000011 Hz (式 3-1)对于加热倒计时器,以59min59s计算,累计误差为:(5960+ 59)0.000011= 0.039589s。对于此系统误差,可以通过调整参数来避免11。3.4 各个模块的实现及其仿真3.4.1 数据装载模块数据装载模块电路的本质是一个三选一多路选择器,其框图如图3-2示。其中LD_TESTLOAD为高电平时,输出测试数据;LD_CLK为高电平时,输出设置的烹饪时间数据;LD_DONE为高电平时,输出烹饪完毕的状态信息数据;LOAD则用于指示电路正

21、处于上述三路信号模式中的哪一种。图3-2 数据装载模块框图其模块仿真图如下图3-3所示。图3-3 当系统处于测试状态下的装载模块仿真图图3-3中,测试信号LD_TEST为高电平有效时,测试输出信号为高电平,数码管输出信号为8888。.图3-4 当系统处于时钟脉冲信号下的装载模块仿真图图3-4中,当时间设置信号SET_T为高电时,对应的指示信号LD_CLK输出高电平。图3-5 当系统完成时的装载模块仿真图图3-5中,当LD_DONE信号为高电平时,对应输出信号为高电平,显示烹饪完成。图3-6 状态控制电路仿真波形图 图3-6中,当测试信号TEST为高电平有效时,测试输出信号为高电平;否则,当时间

22、设置信号SET_T为高电时,对应的指示信号LD_CLK输出高电平;当烹饪开始信号STSRT信号为高电平时,对应输出COOK为高电平;当复位信号RESET为高电平时,系统复位清零,恢复初始状态。仿真结果符合模块设计的要求。3.4.2 烹饪计时模块电路计时模块是由十进制减法计数器和六进制减法计数器级联组成,其中,两个十进制的减法计数器用于分、秒个位减法计数,两个六进制的减法计数器用于分、秒的十位减法计数器。由六进制计数器和十进制计数器级联构成的计时模块原理。其框图如图3-7所示。其中,LOAD为高电平时完成信号的载入;COOK信号为高电平时,在每个时钟周期的上升沿进行减法计数;输出信号DONE表示

23、烹饪时间到,MIN和SEC显示剩余时间和测试状态信息、烹饪完毕状态信息等。图3-7 计时器模块框图图3-8为六进制计数器模块框图,其中LOAD为高电平时完成信号的载入;COOK信号为高电平时,在每个时钟周期的上升沿进行减法计数;DATAIN3.0为输入信号,Q3.0为输出信号。图3-8 六进制计时器模块框图图3-9为十进制计数器模块框图,其中LOAD为高电平时完成信号的载入;COOK信号为高电平时,在每个时钟周期的上升沿进行减法计数;DATAIN3.0为输入信号,Q3.0为输出信号。图3-9 十进制计时器模块框图烹饪计时模块仿真图如图3-10所示。图3-10 烹饪计时模块仿真图图3-10中,L

24、OAD为高电平时读取信号DATA的值,当COOK信号为高电平时,对DATA的值进行减法计数,并在每个时钟周期都输出减法计数器的当前值。仿真结果与预先设定的电路功能相吻合。电路计时模块是由十进制减法计数器和六进制减法计数器级联组成。六进制计数器和十进制计数器的仿真如分别如图3-11,图3-12所示。图3-11 六进制减法计数器仿真图 图3-11中,当EN为高电平时,开始进行六进制的减法计数。图3-12 十进制减法计数器仿真图图3-12中当EN为高电平时,开始进行十进制的减法计数。3.4.3 显示译码模块显示译码模块的本质就是将一个输入信号通过译码在数码管上显示出来。其框图如图3-13所示。其中d

25、3.0为一个输入信号,q6.0为输出信号。图3-13 译码电路框图其译码电路模块仿真图如3-14所示。图3-14 显示译码模块仿真图上图中,当复位信号有效,微波炉控制器处于初始状态,若显示管测试信号有效,则显示管输出全是8,以示正常工作。本显示译码器对数字09进行显示译码其译码对照表如表3-1所示。表 3-13.4.4 控制状态模块 状态控制电路的功能是根据输入信号和自身当时所处的状态完成状态的转换和输出相应的控制信号,其模块框图如图3-15所示。其中,输出信号LD_DONE指示数据装载电路载入的烹饪完毕的状态信息和显示驱动信息数据;LD_CLK指示数据装载电路载入的设置的时间数据;LD_TE

26、ST指示数据装载电路载入的用于测试的数据,以显示驱动信息数据;COOK指示烹饪的状态,并提示计时器进行减法计数。图3-15 控制状态电路模块框图其模块仿真图如3-16所示。.图3-16 控制状态电路模块仿真图图3-16中,当测试信号TEST为高电平有效时,测试输出信号为高电平;否则,当时间设置信号SET_T为高电平时,对应的指示信号LD_CLK输出高电平;当烹饪开始信号START信号为高电平时,对应输出COOK为高电平;当复位信号RESET为高电平时,系统复位清零,恢复初始状态。仿真结果符合模块设计的要求。3.4.5 分频器的设定实验箱上的晶振频率为50M,而在设计所需要的计数时钟为1Hz(C

27、LK_1HZ),所以必须对其进行分频,分频为5000000倍。如此大的分频需要的仿真时间很久。故在仿真时采用小的分频来代替,如此模块中采用8倍来替代,这样可以方便快速的察看结果。在下载到电路上时就需要采用5000000倍Signal cntl:integer ranger 0 to 7;-KK下载时换为 0 to 3999999;产生计数脉冲的进程:PROCESS(CLK,CLR) BEGIN IF CLR=1THEN CLK_2=0; CNT1=0; ELSIF RISING_EDGE(CLK)THEN CNT1CNT1+1; IF (CNT1=7)THEN CLK_2=1; CNT1=0;

28、ELSE CLK_2=0;END IF; END FI; END PROCESS;FPG模块程序运行后的生成的模块图,其中CLR为清零端,clk为外部时钟输入端,CLK_1Hz为计数时钟输出端12。3.4.6 微波炉的温控系统根据微波发生结构和微波发生原理,我们可以得出两种调节微波功率的基本方式,实现调节微波功率大小的目的。(1) 调节阳极平均电压的大小。经过上面的分析可知,微波的能量是由微波管电源的直流电能转化而来的, 所以磁控管的阳极电压直接决定了微波的发射功率,即微波管电源的功率决定了微波发射的功率,我们可以通过改变阳极电压的方法来调节微波发射功率。(2) 调节磁控管的工作时间。这种调节

29、方式也可以叫做间歇式的调功方式,磁控管是以脉动形式工作的,磁控管开启和关断的时间之比称为工作比,而磁控管的平均电流正比于工作比, 因此可通过控制磁控管的开断时间,进而控制和改变平均功率的输出大小。在传统的微波炉中功率调节采用的是功率分配器,它用来调节磁控管工作、停止时间的比例,即调节磁控管的平均工作时间,从而达到调节微波平均输出功率的目的。通过分析比较后,我最后决定使用第二种调节磁控管的工作时间的这种方法来完成。该微波炉具有解冻、烹调和烧烤三种不同的功能而功率调整的控制方法是通过对磁控管间歇通电来实现的。通电时间以30s为一个单元,解冻是每30s通电3s。断电27s;烹调足第30s通电15s,

30、断电l5s;烧烤是每30s通电27s,断电3s。若加热时间到,磁控管停止工作。该微波炉控制系统的功能为:上电后系统首先处于复位状态,此时数码管显示00.00。在工作时首先按set键设置烹调时间,第一次按set键时设置秒个位,通过按add键对秒个位循环加l;第二次按set键时设置秒十位;依次类推分别设置分个位和分十位,设置某个数位时该数位对应的LED闪烁(假设系统最长的设置时间是59分59秒)。然后按下解冻unfreeze或烹调cook或烧烤barbecue键选择相应的烹调功率。再按start键后系统进人烹饪状态,此时4个7段数码管每隔一秒变化一次,用于刷新还剩多少时间结束烹饪。烹饪结束后,输出

31、完成信号finish,扬声器发出声音提示烹调完毕,然后系统回到复位状态。系统可以通过reset键随时回到复位状态。其主程序流程图3-16如测试选择烹饪、烘烤、解冻等开始系统复位、初始化数据装载系统预置方案系统自定义方案时间设定温度设置火力选择数据装载完成STSRT键闭合否运行是否达到预定温度烹饪计时时间到否结束NYNN 图3-16 温控系统主程序流程图3.5顶层模块仿真顶层模块的功能是将各个模块的功能连接起来。其仿真图如3-17所示。图3-17 顶层模块仿真图图3-17中,当测试信号TEST为高电平有效时,测试输出信号为高电平,数码管上显示8888;否则,当时间设置信号SET_T为高电平时,对应的指示信号LD_CLK输出高电平;当烹饪开始信号START信号为高电平时,对应输出COOK为高电平,并且开始倒数计时;当复位信号RESET为高电平时,系统复位清零,恢复初始状态。仿真结果符合模块设计的要求。4 总结本设计实现了一个具备定时和信息显示功能的微波炉控制器。按照任务书的要求,细致的分析了微波炉控制器的各个功能,思考了各个功能的实现方法,学习了EDA的基本知识,及FP

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1