ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:230.25KB ,
资源ID:9645156      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9645156.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.docx

1、湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告计算机原理与设计实验报告实验二 存储器实验姓名: XXX学号: 28班级: 13级软件工程2班实验日期: 2014年 10 月29 日实验地点信息楼605硬件环境Intel(R) Core i3-3240 ,系统环境Windows XP SP3设计软件Quartus II 器件型号EP1C12QC240C8中ROM定制与读出实验一实验目的1、掌握FPGA中ROM的设置,作为只读存储器ROM的工作特性和配置方法。2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文

2、件加载于ROM中;3、在初始化存储器编辑窗口编辑mif文件配置ROM; 4、验证FPGA中ROM的功能。二实验原理ALTERA的FPGA中有许多可调用的模块库,可构成如rom、ram、fifo等存储器结构。CPU中的重要部件,如RAM、ROM可直接调用他们构成,因此在FPGA中利用嵌入式阵列块EAB可以构成各种结构的存储器,ROM是其中的一种。ROM有5组信号:地址信号address 、数据信号q 、时钟信号inclock、outclock、允许信号memenable,其参数都是可以设定的。由于ROM是只读存储器,所以它的数据口是单向的输出端口,ROM中的数据是在对FPGA现场配置时,通过配置

3、文件一起写入存储单元的。图2-1-1中的ROM有3组信号:inclk输入时钟脉冲;instruction31.0lpm_ROM的32位数据输出端;a4.0lpm_ROM的5位读出地址。实验中主要应掌握以下三方面的内容:(1)ROM的参数设置; (2)ROM中数据的写入,即FILE初始化文件的编写;(3)ROM的实际应用,在GW48_CP+实验台上的调试方法。三实验步骤(1)新建工程。工程名是。(2)用初始化存储器编辑窗口编辑ROM配置文件(文件名.mif)。这里预先给出后面将要用到的指令存储器初始化文件: 。如下图,中的数据是机器指令代码。中的数据(3)模块设计。用图形编辑,使用工具Mega

4、Wizard Plug-In Manager,定制指令存储器rom宏功能块。设置地址总线宽度address和数据总线宽度q,分别为5位和32位,并添加输入输出引脚,如图设置和连接。ROM的结构图在设置rom数据参数选择项file的对应窗口中(下图),用键盘输入ROM配置文件的路径(),然后设置在系统ROM/RAM读写允许,以便能对FPGA中的ROM在系统读写。 设置在系统ROM/RAM读写允许(4)全程编译。(5)画波形文件并进行功能仿真。波形如上图。(6)引脚锁定。引脚分配如下表:Node NameLocationclkPIN_240a4PIN_6a3PIN_4a2PIN_3a1PIN_2a

5、0PIN_1instruction31PIN_168instruction30PIN_167instruction29PIN_166instruction28PIN_165instruction27PIN_164instruction26PIN_163instruction25PIN_162instruction24PIN_161instruction23PIN_160instruction22PIN_159instruction21PIN_158instruction20PIN_141instruction19PIN_140instruction18PIN_139instruction17P

6、IN_138instruction16PIN_137instruction15PIN_136instruction14PIN_135instruction13PIN_134instruction12PIN_133instruction11PIN_132instruction10PIN_128instruction9PIN_41instruction8PIN_21instruction7PIN_20instruction6PIN_19instruction5PIN_18instruction4PIN_17instruction3PIN_16instruction2PIN_15instructio

7、n1PIN_14instruction0PIN_13(7)全程编译。(8)编程下载。下载SOF文件至FPGA,改变ROM的地址a4.0,外加读脉冲,通过实验台上的数码管比较读出的数据是否与初始化数据中的数据)一致。注,工程名是,下载示例文件至实验台上的FPGA,选择实验电路模式仍为,32位数据输出由数码8至数码1显示,5位地址由键2、键1输入,键1负责低4位,地址锁存时钟CLK由键8控制,每一次上升沿,将地址锁入,数码管8/7/6/5/4/3/2/1将显示ROM中输出的数据。发光管8至1显示输入的5位地址值。(9)在系统读写。打开QuartusII的在系统存储模块读写工具In-system M

8、omery_Content Editor,了解FPGA中ROM中的数据,并对其进行在系统写操作(下图)。在系统存储模块读写(10)实验数据记录实验数据如下表:a245717instructionAC820008C89000080000172FPGA中RAM读写实验一实验目的1、了解FPGA中RAM模块ram的功能 2、掌握ram的参数设置和使用方法3、掌握ram作为随机存储器RAM的工作特性和读写方法。二实验原理在FPGA中利用嵌入式阵列块EAB可以构成存储器,ram的结构如下图。从DATAIN7.0输入的低8位数据由进行零扩展为32位输入数据后,送入ram的左边data31.0输入,从右边o

9、ut31.0输出,wren为读/写控制信号端。数据的写入:当输入数据和地址准备好以后,clk是地址锁存时钟,当信号上升沿到来时,地址被锁存,数据写入存储单元。数据的读出:从address4.0输入存储单元地址,在clk信号上升沿到来时,该单元数据从out31.0输出。wren读/写控制端,低电平时进行读操作,高电平时进行写操作;clk读/写时钟脉冲;DATAIN7.0 低8位数据输入端; data31.0RAM的32位数据输入端;address4.0RAM的读出和写入地址; out31.0RAM的32位数据输出端。lpm_ram_dp实验电路图三实验步骤(1)RAM定制与ROM基本相同,实验步

10、骤也类似。按图输入电路图,同样使用工具Mega Wizard Plug-In Manager。设置地址总线宽度address和数据总线宽度q,分别为5位和32位,并进行编译、仿真、引脚锁定、FPGA配置。(2)注意,RAM也能加入初始化文件 (数据存储器的初始化文件),注意此文件加入的路径表达和文件表达(下图): ,(后缀mif要小写);同时择在系统读写RAM功能,RAM的ID名取为:ram2。RAM加入初始化文件和选择在系统读写RAM功能(3)波形仿真波形仿真结果如下:(4)引脚分配引脚分配图下表:Node NameLocationaddress4PIN_6address3PIN_4addr

11、ess2PIN_3address1PIN_2address0PIN_1clkPIN_169DATAIN7PIN_240DATAIN6PIN_239DATAIN5PIN_238DATAIN4PIN_237DATAIN3PIN_236DATAIN2PIN_235DATAIN1PIN_234DATAIN0PIN_233out15PIN_136out14PIN_135out13PIN_134out12PIN_133out11PIN_132out10PIN_128out9PIN_41out8PIN_21out7PIN_20out6PIN_19out5PIN_18out4PIN_17out3PIN_16o

12、ut2PIN_15out1PIN_14out0PIN_13wrenPIN_173(5)通过键1、键2输入RAM的低8位数据(选择实验电路模式1),键3、键4输入存储器的5位地址。键8控制读/写允许,低电平时读允许,高电平时写允许;键7(CLK0)产生读/写时钟脉冲,即生成写地址锁存脉冲,对ram进行写/读操作。注,工程名是,下载至实验台上的FPGA,选择实验电路模式为,按以上方式首先进行验证实验。首先控制读出初始化数据,与载入的初始化文件中的数据进行比较,然后控制写入一些数据,再读出比较。使用在系统读写RAM的工具对其中的数据进行读写操作(下图),设置成连续读模式,将在系统读写工具窗口的数据与实验箱上数码管上显示的数据对照起来看。使用在系统读写工具对RAM中的数据进行读写操作(6)实验数据实验数据如下表:wren101010address113443DATAIN121224242323out0050121224240000232324243实验心得这次实验我掌握ROM与RAM存储器的设置,作为只读存储器ROM和随机存取存储器RAM的工作特性和配置方法。对存储器的工作原理和解后也有了进一步的认识,为以后的学习和试验打下了坚实的基础。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1