ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:75.52KB ,
资源ID:9574904      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9574904.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(有关汽车尾灯的完整数字逻辑课程设计报告.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

有关汽车尾灯的完整数字逻辑课程设计报告.docx

1、有关汽车尾灯的完整数字逻辑课程设计报告石家庄经济学院信息工程学院数字逻辑课程设计报告题 目 汽车尾灯控制器的设计 成 绩 2010年6月1. 功能描述 022. 开发工具选择 023. 设计方案 024 模块描述 045. VHDL实现 076. 调试仿真 127. 课程设计回顾总结 13参 考 文 献 14附录 14一、功能描述设计功能描述:存在6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯和刹车控制信号(一个开关控制右转弯,另一个开关控制左转弯),用一个开关作为汽车车锁开启控制,打开汽车时车灯闪烁3秒钟,才能行驶。当汽车往前行驶时(此时两个开关都未接通),6盏灯全灭。当汽车转弯时

2、,若右转弯(即右转开关接通),右边的3盏尾灯从左至右顺序亮灭,左边3盏灯全灭;若左转弯(即左转开关接通),左边的3盏尾灯从右至左顺序亮灭,右边3盏灯全灭。当左、右两个开关同时接通时,6盏尾灯同时明、暗闪烁。二、开发工具选择采用硬件描述语言 VHDL进行描述,依靠计算机,借助EDA开发工具,实现系统功能。三、设计方案(1)、以Opencarcar作为总控制开关,当Opencarcar为1时,汽车解锁,汽车启动,此时车灯闪烁以两个开关L、R为控制信号,分别控制汽车的行驶、刹车、左转以及右转。如下图: 当总控制开关Opencarcar为1,汽车启动,车灯闪烁3秒。车灯 脉冲 L0L1L2R0R1R2

3、 10000002111111(2)、当总控制开关Opencarcar为1,汽车向前行驶,L R = 00,6盏灯全灭,即L0L1L2=000,R0R1R2=000;如下图:当总控制开关Opencarcar为0,汽车向前行驶时,车灯全灭。车灯 脉冲 L0L1L2R0R1R210000002000000(3)、当总控制开关Opencar为1,汽车刹车,L R = 11,6盏灯闪烁,即L0L1L2=000 -111,R0R1R2=000 -111;如下图:当总控制开关Opencarcar为0,汽车刹车时,车灯闪烁。车灯 脉冲 L0L1L2R0R1R210000002111111(4)、当总控制开关

4、Opencarcar为1,汽车左转,L R = 10,左边的3盏尾灯从右至左顺序亮灭,右边3盏灯全灭;如下图:当总控制开关Opencarcar为1,汽车左转时左边的3盏尾灯从右至左顺序亮灭,右边3盏灯全灭。车灯 脉冲 L0L1L2R0R1R21000000200100030100004100000(5)、当总控制开关Opencarcar为1,汽车右转,L R = 01,右边的3盏尾灯从左至右顺序亮灭,左边3盏灯全灭;如下图:当总控制开关Opencarcar为1,汽车右转时右边的3盏尾灯从左至右顺序亮灭,左边3盏灯全灭。车灯 脉冲 L0L1L2R0R1R210000002000100300001

5、04000001(6)、底层文件描述闪烁过程用单独的实体实现计时模块 , 实现车灯闪烁和亮灭要求亮0.5秒灭1秒。四、模块描述总模块1:顶层文件设计,实现汽车开启时解锁,车灯闪烁3秒;汽车向前行驶,车灯全灭,汽车刹车时车灯闪烁,汽车转弯时相应车灯闪烁. 总模块2:底层文件设计,实现汽车开启时,解锁,车灯闪烁3秒.用模6计数原理. IF(CPEVENT AND CP=0)THEN -下降沿出触发 IF Opencarcar=1THEN -汽车解锁,车灯闪烁。 IF Q L=111; R L=000; R L=000; R L=111; R L=000; R L=000; R Q=101; end

6、 case; Q=Q+1; ELSE Q=000; END IF; END IF; END IF; 总模块3:底层文件设计,实现汽车锁定,汽车行驶,车灯全灭,汽车刹车,车灯闪烁,汽车转弯车灯相应闪烁.模块1:当总控制开关Opencarcar为1,汽车向前行驶,L R = 00,6盏灯全灭。IF adder=”00”THEN L=”000”; R=”000”;模块2:总开关Opencarcar为1时,汽车刹车,L R = 11,6盏灯闪烁。 ELSIF Opencarcar=0 AND adder =11THEN -汽车刹车 IF Q L=111; R L=000; R L=000; R L=1

7、11; R L=000; R L=000; R Q=000; end case; Q=Q+1; ELSE Q=000; END IF;模块3:总开关Opencarcar为1时,汽车左转,L R = 10,左边的3盏尾灯从右至左顺序亮灭,右边3盏灯全灭。 if L=000then L=001; R=000; elsif ( L=001)then L=010; R=000; elsif( L=010)then L=100; R=000; else L=001;R=000; end if;模块4:总开关Opencarcar为1时,汽车右转,L R = 01,右边的3盏尾灯从左至右顺序亮灭,左边3盏灯

8、全灭。 if R=000then R=100; L=000; elsif ( R=100)then R=010; L=000; elsif( R=010)then R=001; L=000; else R=100;LCP,Opencarcar=Opencarcar,L=L,R=R,Q=Q); U2:StopCarmond6 PORT MAP(CP=CP,Opencarcar=Opencarcar,Lift=Lift,Right=Right,L=L,R=R,Q=Q);END ;-底层实体,汽车解锁,车灯闪烁,计时模块-底层文件,模6 计时器LIBRARY IEEE;USE IEEE.STD_LO

9、GIC_1164.ALL ;USE IEEE.STD_LOGIC_UNSIGNED.ALL ;ENTITY Opencarmond6 IS PORT( CP: IN STD_LOGIC; Opencarcar: IN STD_LOGIC; L: BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); R:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); Q: BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0) ; END ; ARCHITECTURE ONE OF Opencarmond6 ISBEGIN PROCESS (CP)

10、BEGINIF(CPEVENT AND CP=0)THEN -下降沿出触发 IF Opencarcar=1THEN -汽车解锁,车灯闪烁。 IF Q L=111; R L=000; R L=000; R L=111; R L=000; R L=000; R Q=101; end case; Q=Q+1; ELSE Q=000; END IF; END IF; END IF; END PROCESS;END;-底层实体,汽车控制系统实现汽车行驶,转弯,汽车刹车,车灯闪烁,计时模块-底层文件,模6 计时器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;USE I

11、EEE.STD_LOGIC_UNSIGNED.ALL ;ENTITY StopCarmond6 IS PORT( CP: IN STD_LOGIC; Opencarcar: IN STD_LOGIC; Lift,Right: IN STD_LOGIC; L: BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); R:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); Q: BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0) ; END ; ARCHITECTURE ONE1 OF StopCarmond6 IS SIGNAL add

12、er :STD_LOGIC_VECTOR( 1 DOWNTO 0);BEGIN adder = Lift & Right; -中间信号 PROCESS( adder ,CP,Q) -进程BEGINIF(CPEVENT AND CP=0)THEN -下降沿出触发 IF Opencarcar=1 AND adder =00 THEN -汽车向前行驶 L=000; R=000; ELSIF Opencarcar=1 AND adder =11THEN -汽车刹车 IF Q L=111; R L=000; R L=000; R L=111; R L=000; R L=000; R Q=000; end

13、 case; Q=Q+1; ELSE Q=000; END IF; ELSIF Opencarcar=1 AND adder =10THEN -汽车左转 if L=000then L=001; R=000; elsif ( L=001)then L=010; R=000; elsif( L=010)then L=100; R=000; else L=001;R=000; end if; ELSIF Opencarcar=1 AND adder =01THEN -汽车右转 if R=000then R=100; L=000; elsif ( R=100)then R=010; L=000; el

14、sif( R=010)then R=001; L=000; else R=100;L=000; end if; END IF; END IF;END PROCESS;END ;六、调试仿真七、课程设计回顾总结 通过这次设计,进一步加深了对EDA的了解,对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写程序时,遇到了不少问题,首先由于自己对于汽车的发动过程不是很了解,所以汽车解锁和汽车锁定方面存在误区,程序一直运行不成功,在上网查询汽车操作以及在同学帮助下,在细心的检查下,终于找出了错误,排除困难后,程序编译就通过了,仿真文件基本符合这次实验要求。这次试验总的来说,进行的还算顺利,但在调试过程中,也遇到了一些自己不能解决的问题,通过请教同学,我明白解决的办法,在这次试验中,提高了整体设计和构思的能力,原来在学习过程中不明白的问题,在这次实习中通过自己操作有了进一步的理解,在解决困难的过程中,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论和实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。参 考 文 献张兴忠 数字逻辑和数字系统 科学出版社李景华 可编程逻辑器件和EDA技术 东北大学出版社

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1