ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:197.27KB ,
资源ID:9557879      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9557879.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(4位输入大数据的一般数值比较器电路设计.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

4位输入大数据的一般数值比较器电路设计.docx

1、4位输入大数据的一般数值比较器电路设计课 程 设 计 报 告课程名称 数字逻辑课程设计 课 题 4位输入数据的一般数值的比较电路的设计专 业 计算机科学与技术 班 级 计算机 1202 学 号 201203010202 姓 名 周逢露 指导教师 洞波 淑红 多2013年 12月 13日课程设计任务书课程名称 数字逻辑课程设计 课 题 4位输入数据的一般数值比较电路的设计 专业班级 计算机科学与技术 学生 周逢露 学 号 201203010202 指导老师 洞波 淑红 多 审 批 洞波 任务书下达日期: 2013年 12月 13日任务完成日期: 2014年 01月 21日一、设计容与设计要求1设

2、计容:本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用 VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。题目一 4线-16线译码器电路设计;题目二 16选1选择器电路设计;题目三 4位输

3、入数据的一般数值比较器电路设计题目四 10线-4线优先编码器的设计题目五 8位全加器的设计题目六 RS触发器的设计;题目七 JK触发器的设计;题目八 D触发器的设计;题目九 十进制同步计数器的设计;题目十 T触发器的设计;每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。参考书目1EDA技术与VHDL程序开发基础教程雷伏容,俊,霞清华大学978-7-302-22416-72010TP312VH/362VHDL电路设计雷伏容清华大学7-302-14226-22006TN702/1853VHDL 电路设计技术王道宪贺名臣伟国防工业7-118-03352-92004TN702/624V

4、HDL 实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/15VHDL 语言100 例详解理工大学ASIC研究所7-9006257-900625-02-X1999TP312VH/36VHDL编程与仿真王毅平等人民邮电7-115-08641-9200073.9621/W38V7VHDL程序设计教程邢建平曾繁泰清华大学7-302-11652-02005TP312VH/27/3 课程设计报告规课程设计报告应包含如下几个部分1) 功能描述说明设计器件的功能,包括真值表(功能表),函数表达式,逻辑电路图 2) 详细设计按照VHDL语言开发流程写出整个开发的详细过程,可以

5、根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。基本设计流程如下:1 工程管理:新建工程,工程管理;2 源文件输入:VHDL程序或者原理图的设计,嵌模块的调用;3 综合、编译:检查语法,连接错误,生成综合后网表; 功能仿真:综合后的功能仿真; 简单约束:管脚分配,I/O特性约束,简单的时序约束; 全编译:软件自动完成布局布线,生成最终编程文件; 时序仿真:带延时的和实际情况非常接近的时序仿真; 编程:下载到硬件当中。3) 调试分析以及设计体会a.仿真或程序下载调试(附界面截图)。b.设计过程中遇到的问题以及解决问题的方法。c.课程设计过程经验教训、心得体会。4) 书写格式见附带说

6、明。5) 附录a.参考书目b.源程序清单(带注释) 考核方式指导老师负责验收程序的运行结果,并结合学生的工作态度、实际动手能力、创新精神和设计报告等进行综合考评,并按优秀、良好、中等、及格和不及格五个等级给出每位同学的课程设计成绩。具体考核标准包含以下几个部分:1) 平时出勤 (占10%)2) 系统需求分析、功能设计、数据结构设计及程序总体结构合理与否(占10%3) 程序能否完整、准确地运行,个人能否独立、熟练地调试程序(占40%)4) 设计报告(占30%)5) 注意:不得抄袭他人的报告(或给他人抄袭),一旦发现,成绩为零分。6) 独立完成情况(占10%)。 课程设计验收要求7) 运行所设计的

7、系统。8) 回答有关问题。9) 提交课程设计报告纸质稿。10) 提交源程序或设计报告文档电子稿。11) 依容的创新程度,完善程序情况及对程序讲解情况打分。二、进度安排上机时间、地点16 周 周二 下午 E410/41316 周 周二 下午 E413/41416 周 周三 下午 E414/606附带说明:1.课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(程序清单)。 2.正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。3.正文的容:一、课题的主要功能;二、详细设计;三、程序调试;四、总结;五、附件(所有程序的原代码,要求对程序写出必要的注释)。

8、4.正文总字数要求在5000字以上(不含程序原代码)。一、课题的主要功能1)功能描述2)集成数值比较器74LS85得功能3)集成数值比较器74LS85是位数值比较器2、详细设计1)打开QuartusII软件;新建一个项目,2)建立VHDL文件3)建立矢量波形文件4)进行功能仿真5)进入时序仿真6)器件的下载3、程序调试分析及设计体会1)仿真或程序下载调试2)设计过程中遇到的问题及解决方法3)课程设计的心得体会4、书写格式5、总结六、附录1) 功能描述2)集成数值比较器74LS85得功能2) 集成数值比较器74LS85是位数值比较器,其功能如下: 从功能表可以看出,该比较器的比较原理和两位比较器

9、的比较原理相同。两个位数的比较是从的最高位A3和的最高位B3进行比较,如果它们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3B3,则再比较次高位A2和B2,余类推。显然,如果两数相等,那么,比较步骤必须进行到最低位才能得到结果。 2.函数表达式3. 逻辑电路图 2) 详细设计打开quartus软件;新建一个项目,但是这个过程要注意工程名要和项目一样,不然就会导致软件找不到文件而出错。见下图: 新建一个vhdl程序编辑文件筐,如新建一个vhdl程序编辑文件筐,如然后写入你要编写的vhdl程序。程序写好以后保存一下,然后就要编译看是否有错误。(警告可以运行程序)程序编译好以后,我们就

10、要制作一个网表编译框,然后我们要编辑结束时间,做完以后,我们就要插入一个节点,把项目改成所有,然后点一下list,把左边边框里的项目全部拉到右边边框,然后输入波形图,然后编译波形图,见下图: 编译好波形图以后,我们就可以观察波形的输出结果了,看是否符合理想的结果。见下图:符合理想结果以后,我们就可以来定义引脚,定义好引脚以后,我们就要下载程序到芯片上。具体操作:点Tools/programmer就会出来一个文件编译框,然后把那个全选那个选择框全选,如:然后再添加硬件,见下图:添加好硬件好以后,我们就可以把程序下载到硬件上(注意:我们应该先连接电脑和仪器数据线,然后再插上电源)见下图:点上面图中

11、的那个start按键,就可以把程序下载到芯片上。做好以后,我们就可以在仪器上通过开关来控制输入,观察输出,如果结果和我们预想的一致,我们的实验就成功了。实验成功以后,我们就可以写实验报告了3) 调试分析以及设计体会a.仿真或程序下载调试(附界面截图)。(见详细设计)b.设计过程中遇到的问题以及解决问题的方法。第一个错误就是工程名和项目名不一样,导致的错误就是每次调试程序都会出现3个错误。后经过老师的指导,才使我改正错误。这个老师上课的时候特别强调的,但是一旦自己动手做就又把老师的话,丢在脑后了。因为我们没有学习vhdl这门课程,导致我们不会自己编写程序,所以我们就只能自己在网上找程序,但是网上

12、的程序质量有很大的差别。有很多的错误,并且问题是我们自己还不知道怎么去把错误改正。经过老师指导,我才把程序改正。但是还是不理解那个语法。就是对这个程序的不熟悉,老是弄错了顺序,导致程序错误。c.课程设计过程经验教训、心得体会。通过这次课程设计,加强了我们动手、思考和解决问题的能力。在这个设计课程开始的时候,我感到很迷茫,不知道该怎么去做这个课程设计。不知道该怎么去开使这个课程设计。最后再看了很多次的课程设计计划书之后终于做了4位数值比较器这个课题。这个课题老师在上课的时候也有讲过。当时听起来感觉害死十分的简单。当我开始这个课题的时候,我有发现了我对这个4位数比较器了解的太少了。在这个课程设计的

13、过程中,我查阅了大量的资料,询问了同学老多问题,才把这个课题了解的比较清楚。经过一个星期的课程设计实习,使得我们经历过了坎坷的路程,其中的经历一言难尽。在这期间我曾经认为课程设计是比较简单的 ,从开始的满是激情,经过了一度忙乱,到最后的汗水背后的复杂心情,这期间的点点滴滴令我十分难忘,回味无穷。我感觉到这才是比较真是,充满活力的生活。生活就是这样,只有付出辛劳的汗水才能得到相应的收获,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。充满劳动的人生才更具有意义,才更加的美好。我忍艰苦奋斗这个词非常的适用于我们的这各课程设计,苦中作乐更是体现了他的精髓。我们全体的学生都在课程设计中留

14、下辛勤的汗水。都在为了自己的课程设计更加的完美而不断地查阅资料,不断地改进自己的方案。我们同学之间也在不断地相互帮助,使得我们之间的感情更加的好,更加的想一个大家庭里的兄弟姐妹。我们一起讨论问题一起又说有笑 ,人与人之间的距离更近了。当我们看到自己的成果时,心里十分的激动,十分的兴奋,也明白了很多的道理。我认为有些事情即使再难,再枯燥只要我们尽自己最大的努力也能完成的很好。我们要挑战自己的懒惰心理,执着的坚持下去才能使得我们的工作做得更加的出色。“世上无难事,只要肯登攀”做任何事都是如此。在设计的过程中遇到问题,可以说得是艰难险阻,这毕竟这是第一次接触这些东西,难免会遇到过各种各样的问题,同时

15、在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。同时,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!此次课程设计,学到了很多课堂上学不到的东西,像独立的思考解决问题和怎样向他人学习,这些都使我都受益非浅。在此,感谢老师的细心指导,同样谢谢其他各组同学的无私帮助源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity trigger_d is port(clk,d,sreset:in std_logic; -同步复位端sreset q,qf

16、:out std_logic);end entity;architecture art of trigger_d is begin process(clk,d,sreset) begin if clkevent and clk=1 then if sreset=1 then q=0;qf=1; else q=d;qf=not(d); end if; end if; end process;end architecture art;计算机与通信学院课程设计评分表课题名称: 项 目评 价设计方案的合理性与创造性设计与调试结果设计说明书的质量答辩述与回答问题情况课程设计周表现情况综合成绩 教师签名: 日 期:

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1