ImageVerifierCode 换一换
格式:DOCX , 页数:32 ,大小:561.15KB ,
资源ID:9321595      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9321595.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(FPGA实验报告.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

FPGA实验报告.docx

1、FPGA实验报告FPGA实验报告FPGA原理与应用实验报告 专业名称: 通信工程 班级学号: 学生姓名: 指导教师: 1 1 一位半加器的设计 . 3 1.1 实验目的 . 3 1.2 实验内容 . 3 2 触发器的设计 . 13 2.1 实验目的 . 13 2.2 实验原理 . 13 2.3 实验内容 . 13 3 四位加法器的设计 . 17 3.1 实验目的 . 17 3.2 实验要求 . 17 3.3 实验内容 . 17 4 优先级8-3编码器的设计 . 19 4.1 实验目的 . 19 4.2 实验要求 . 19 4.3 实验内容 . 19 5 增计数器的设计 . 22 5.1 实验目

2、的 . 22 5.2 实验要求 . 22 5.3 实验内容 . 22 6 七人表决器设计 . 25 6.1 实验目的 . 25 6.2 实验要求 . 25 6.3 实验内容 . 25 附录 . 28 2 1 一位半加器的设计 1.1 实验目的 (1) 通过一个简单的一位半加器的设计,熟悉开发环境,掌握组合逻辑电路的设计方法。 (2) 初步了解可编程器件设计的全过程。 1.2 实验内容 一、创建工程 选择菜单栏 FileNew Project Wizard,新建一个工程。如图2所示。工程名的所示。 设定对话框如图3图 2 3 图 3 第一个输入框为工程目录输入框,设定好后,所有的生成文件将放入这

3、个工作目录。第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。一般情况下工程名称与实体名称相同,使用者也可以根据自已的实际情况来设定成不同。 图 4 新建工程对话框图 5 指定工程名称及工作目录 点击【 NEXT】,进入下一个设定对话框图5,让你将之前已经设计好的工程文4 件添加到本项目工程里来,之前若没有设计好的文件,直接点Next,进入下一步器件选择对话框图6. 图5 图 6 器件选择界面 首先在对话框的左上方的 Family 下拉菜单中选取 Cyclone,在中间右边的Pin count下拉选择240 ,Speed grade 下拉菜单中选取 8,在左下方的 Availab

4、le devices 框中选取 EP1C12F240C8,点击 【 NEXT】 完成器件的选取,进入 EDA TOOL设定界面如图7所示。本实验只使用Quartus II的集成环境进行开发,不使用第三方EDA5 工具,所以不需要修改。 图 7 EDA TOOL 对话框 按默认选项,点击 【 NEXT】 出现新建工程以前所有的设定信息,如图 8 所示,点击 FINISH 完成新建工程的建立,如图9所示。 图8 新建工程信息 6 图9 新建立的工程界面 ,到此我们一个新的项目工程已经建立起来但真正的电路设计工作还没开始。由于QuartusII软件的应用都是基于一个项目工程来做的因此无论设计一个简单

5、电路还是很复杂的电路都必须先完成以上步骤建立一个后缀为.qpf的Project File。, 二、建立设计输入文件 点击FileNew,新建一个VHDL文件。见图10。 图10 新建VHDL文件 点击OK,将VHDL程序写入,见图11,并点击FileSave,保存 library ieee; use ieee.std_logic_1164.all; entity halfadd is 7 port (a,b:in std_logic; so,co:out std_logic); -定义输入、输出端口 end halfadd; architecture bh of halfadd is begi

6、n so=a xor b; -异或运算 coStart Compilation对编写的代码进行编译,可以在状态窗口看到当前编译的运行状态,在消息窗口中可以看到编译中的警告、错误和消息。 四、建立仿真波形文件,进行仿真 选择FileNew,在弹出的对话框中点击Other Files,选择Vector Waveform File,并点击OK,建立一个波形文件。见图12、13。 8 图12 新建波形文件 图13 在如图13的Name标签区域内双击鼠标左键,弹出如图14所示的添加节点对话框。 图14 添加节点对话框 在如图14所示的添加节点对话框中单击Node Finder 按钮,弹出如图15所示的对

7、话框,设置如图15、16所示,完成后界面如17所示。 9 图15 添加节点 图16 添加节点后的界面 图17 10 默认的仿真结束时间为1S,根据仿真需要,可以自由设置仿真文件的结束时间。选择EditEnd Time 命令,弹出结束时间对话框,在Time 框内输入仿真结束时间。在这里采用默认设置(1S)。 端点,进行初始值设置编辑时将使用到波形编辑工具对加入到波形文件中的输入栏中的各种工具。图显示了工具栏中各工具的功能。单击选中需要设置的端点,对输入节点进行激励设置。 14、点击Processing Simulation tools,在弹出对话框中选择功能仿真,仿真结束后,查看仿真结果是否符合

8、实验要求。 点击生成功能仿真网表 15、 开始仿真 查看仿真结果 11 五、编译与仿真结果 半加器模块 半加器仿真波形12 2 触发器的设计 2.1 实验目的 (1) 了解基本触发器的工作原理。 (2) 学习Quartus II中基于原理图设计的流程。 2.2 实验原理 基本RS器的电路如下图1所示。它可以由两个与非门交叉耦合组成,也可以由 AAS1R23Q1Q23BB456Q4QR5S6 图1 基本触发器电路图 两个或非门交叉耦合组成。现在以两个与非门组成的基本触发器为例,来分析其工作原理。根据与非逻辑关系,可以得到基本触发器的状态转移真值表及简化的真值表,如下表所示: 状态转移真值表 简化

9、真值表 nn,1n,1Q QQ SS RR0 1 0 0 0 1 0 0 1 1 0 1 0 1 n 1 0 0 1 1 1 Q1 0 1 1 0 0 不定 1 1 0 0 1 1 1 1 0 0 0 不定 0 0 1 不定 表1 基本触发器状态转移真值表 根据真值表,不难写出其特征方程: 其中式(2)为约束条件。 2.3 实验内容 一、创建工程 打开Quartus II软件,新建一个工程,工程名 chufaqi。 13 二、建立设计输入文件 新建一个Block Diagram/Schematic File。见图2,图3。 图2 新建原理图文件 图3 编辑界面 图4 工具栏 在新建的原理图中双

10、击鼠标左键,在弹出的Symbol对话框中的Name栏中输入nand2(在右侧的窗口中就会出现一个二输入与非门符号),或者直接在libraries下面找到nand2。可以打开Repeat-insert mode选项(此选项使得用户易于增加一个符号的多个实例)。见图5。 14 图5 在Sysmbol对话框中输入与非门 点击OK,在原理图文件中点击两次鼠标左键,然后点击鼠标右键并选择Cancel。此时,可以看到原理图中就会出现两个与非门。 导线连接:当需要连接两个端口时,只需将鼠标移动到其中一个端口上,当鼠标变为十字形状时,一直按下鼠标并拖动到另一端口,出现小方框时,松开左键即可。见图6。 然后添加

11、输入输出端口,再次双击鼠标左键,在弹出的Symbol对话框中的Name栏中输入input,然后点击OK,在原理图中输入两个input端口,见图7。同样的步骤,再输入两个output端口。 图6 正确连接后的原理图 图7 输入input端口实例 把输入输出实例与原理图中的端口进行连接,并修改输入输出端口的Pin_Name,在input或output图形标志上双击,出现Pin Properities窗口,在pin names处,键入更改的名称,分别15 为:输入为R和S,输出为Q和NQ。到此为止就完成了一个RS触发器的原理图输入。 图8 完整的原理图 三、编译(Compilation) 选择Pro

12、cessingStart Compilation对编写的代码进行编译,可以在状态窗口看到当前编译的运行状态,在消息窗口中可以看到编译中的警告、错误和消息。 四、建立仿真波形文件,进行仿真验证 触发器原理图 触发器仿真波形 16 3 四位加法器的设计 3.1 实验目的 (1) 熟悉Quartus II软件环境的使用,EDA开发的基本流程 (2) 掌握Quartus II中基于VHDL语言设计的流程 (3) 掌握Quartus II中基于原理图设计的流程 (4) 综合应用VHDL语言及原理图进行电路设计 (5) 完成四位加法器的设计 3.2 实验要求 在数字系统中,加法器是最常见的组合逻辑器件,多

13、位二进制码加法器的低位是半加器,高位是全加器。工程名adder4。 (1) 用VHDL语言设计一位半加器,文件名halfadd1.VHD,调试无误后生成符号 (2) 用VHDL语言设计一位全加器,文件名alladd1.VHD,调试无误后并生成符号 (3) 建立顶层原理图文件adder4.bfd,调用已设计的一位半加器和一位全加器实现四位加法器。 3.3 实验内容 一、创建工程 二、建立设计输入文件 生成一位半加器和一位全加器模块图 17 三、编译与仿真 四位加法器原理图 四位加法器仿真波形 18 4 优先级8-3编码器的设计 4.1 实验目的 1. 掌握EDA开发的基本流程。 2. 编码器、译

14、码器的实现原理。 3. 熟练使用IF条件语句,注意If语句与case语句的区别,case语句中,表达式是没有优先级的,而多条件的If语句的条件是有优先级的,最前面的条件优先级最高。 4.2 实验要求 编写VHDL程序设计8-3编码器。8-3优先级编码器真值表: 输入 输出 d7 d6 d5 d4 d3 d2 d0 d0 y2 y1 y0 1 1 1 1 0 1 1 1 0 0 0 1 1 0 1 0 0 0 1 1 0 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 1 0 0 0 4.3 实验内容 一

15、、创建工程 二、建立设计输入文件 程序: library ieee; use ieee.std_logic_1164.all; entity bianmaqi is port (a,b:in std_logic; d7,d6,d5,d4,d3,d2,d1,d0:in std_logic; hhhh:in std_logic_vector(7 downto 0); cccc:out std_logic_vector(2 downto 0) ); -定义输入、输出端口 end bianmaqi; architecture bh of bianmaqi is begin 19 process(a)

16、begin if(d7=1)then cccc=111; else if(d6=1)then cccc=110; else if(d5=1)then cccc=101; else if(d4=1)then cccc=100; else if(d3=1)then cccc=011; else if(d2=1)then cccc=010; else if(d1=1)then cccc=001; else if(d0=1)then cccc=000; else cccc=null; end if; end if; end if; end if; end if; end if; end if; 20

17、end if; end process; end bh; 三、编译(Compilation)与仿真 83编码器模块图 83编码器仿真波形21 5 增计数器的设计 5.1 实验目的 (1) 掌握时序电路的设计方法,加深对计数器的认识。 (2) 了解用VHDL语言实现计数器的过程。 5.2 实验要求 定时和计数的本质是相同的,它们都是对一个输入脉冲进行计数,如果输入脉冲的频率一定,则记录一定个数的脉冲,其所需的时间是一定的。 因此,使用同一个接口芯片,既能进行计数,又能进行计时,统称为计时器/计数器。(Timer/Counter简称T/C)。 设计十进制增计数器,每当时钟脉冲上升沿,计数器将计数值

18、加1,要求计数器有同步使能信号,异步清0信号,进位指示。按FPGA设计流程, 利用EDA实验箱中的拨挡开关模块和LED及数码管来实现其功能。 (1) 创建工程 (2) 建立设计输入文件 (3) 编译(Compilation) (4) 建立仿真波形文件,进行仿真 (5) 仿真无误后,根据引脚对照表,对实验中用到的拨挡开关及LED进行管脚绑定 (6) 再重新全编译一次。 (7) programmer,用下载电缆通过JTAG接口将对应的sof文件下载到FPGA中。 (8) 观察实验结果是否与自己的真值表相吻合。 5.3 实验内容 程序: LIBRARY ieee; USE IEEE.STD_LOGI

19、C_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY counter IS PORT(clk,clear,en:IN STD_LOGIC; c:OUT STD_LOGIC; data_out:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); led_dag :out STD_LOGIC_VECTOR(7 DOWNTO 0); END counter; 22 ARCHITECTURE jishu OF counter IS SIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(clk,clear,en) -VARIABLE count:integer range 0 to 9; begin

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1