ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:1.09MB ,
资源ID:9275216      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9275216.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(QuartusII教程完整版.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

QuartusII教程完整版.docx

1、QuartusII教程完整版Quartus II 的利用在那个地址,第一用最简单的实例向读者展现利用Quartus II软件的全进程。进入WINDOWS XP后,双击Quartus II图标,屏幕如下图。图 Quartus II 治理器 工程成立利用 New Project Wizard,能够为工程指定工作目录、分派工程名称和指定最高层设计实体的名称。 还能够指定要在工程中利用的设计文件、其它源文件、用户库和 EDA 工具,和目标器件系列和器件(也能够让Quartus II 软件自动选择器件)。成立工程的步骤如下:(1)选择File菜单下New Project Wizard ,如下图。图 成立

2、项目的屏幕(2)输入工作目录和项目名称,如下图。能够直接选择Finish,以下的设置进程能够在设计进程中完成。图 项目目录和名称(3)加入已有的设计文件到项目,能够直接选择Next,设计文件能够在设计进程中加入,如下图。图 加入设计文件(4)选择设计器件,如下图。图 选择器件(5)选择第三方EDA综合、仿真和时序分析工具,如下图。图 选择EDA 工具(6)成立项目完成,显示项目概要,如下图。图 项目概要 原理图的输入原理图输入的操作步骤如下:(1)选择File 菜单下 New ,新建图表/原理图文件,如图所示。图 新建原理图文件(2)在图的空白处双击,屏幕如下图:(3)在图的Symbol Na

3、me 输入编辑框中键入dff后,单击 ok按钮。现在可看到光标上粘着被选的符号,将其移到适合的位置(参考图 )单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如下图;在图中,将光标移到右边input右边待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;图 空白的图形编辑器图 选择元件符号的屏幕图 放置所有元件符号的屏幕(5)重复(4)的方式将DFF和output连起来,完成所有的连 线电路如下图;(6)在图中,双击input_name使其衬低变黑后,再键入clk,及命名该输入信号

4、为clk,用相同的方式将输出信号概念成Q;如下图。(7)在图中单击保留按钮,以默许的try1 文件名保留, 文件后缀为bdf。图 完成连线后的屏幕图 完成全数连接线的屏幕(8)在图中,单击编译器快捷方式按钮,完成编译后,弹 出菜单报告错误和警告数量,并生成编译报告如下图;图 完成编译的屏幕(9)假设需指定器件,选择Assignments菜单下Device选项,屏 幕如下图;图 器件设置(10)完成如下图的选择后,单击OK按钮回到工作环境;(11)依照硬件接口设计,对芯片管脚进行绑定。选择Assignments菜单下Pins选项;(12)双击对应管脚后Location空白框,显现下拉菜单当选择要

5、绑定的管脚,如下图;图 管脚趾定(13)在图中完成所有管脚的分派,并把没有效到的引 脚设置为As input tri-stated, AssignmentsDeviceDevice and Pin Options Unused Pins,然后从头编译项目;(14)对目标版适配下载,(此处以为实验板已安装妥当,有 关安装方式见实验板详细说明)单击按钮,屏幕显示如图所示;图 适配下载界面(15)选择Hardware Setup ,如下图;图 下载硬件设置(16)在图当选择添加硬件ByteBlasteMV or ByteBlaster II,如下图;图 添加下载硬件(17)能够依照需要添加多种硬件于

6、硬件列表中,双击可选列表中需要的一种,使其出此刻当前选择硬件栏中(本实验板采纳ByteBlaster II 下载硬件),如下图;图 选择当前下载硬件(18)选择下载模式,本实验板可采纳两种配置方式,AS模式对配置芯片下载,能够掉电维持,而JTGA模式对FPGA下载,掉电后FPGA信息丢失,每次上电都需要从头配置,如下图;图 选择下载模式(19)选择下载文件和器件,JTAG 模式利用后缀为sof 的文件,AS模式利用后缀为pof的文件,选择需要进行的操作,别离如图,图所示;利用AS模式时,还要设置Assignments 菜单下Device,如图,选择图中Device & Pin Options,

7、如图,选择利用的配置芯片,编译;图 JTAG下载模式图 AS下载模式图 器件选项图 配置芯片选择(20)点击Start按键,开始下载。 文本编辑 (verilog)这一节中将向读者简单介绍如何利用Quartus II软件进行文本编辑。文本编辑(verilog)的操作如下:(1)成立咱们的project2项目如以下图:图 成立项目project2(2)在软件主窗口单击File菜单后,单击New选项,选择Verilog HDL File选项,如图所示:图 新建Verilog HDL文件(3)单击OK进入空白的文本编辑区,进行文本编辑,本节列举一个D触发器的例子,其完成后的屏幕如图所示;图 完成编辑

8、后的屏幕(4)V文件名必需与模块面相同,将文件设置为顶层文 件,ProjectSet as Top-level Entity(5)完成编辑后的步骤与完成原理图编辑的步骤相同,请参考 节有关内容。(6)利用v文件生成原理图模块。在v文件编辑界面中,FileCreat/UpdateCreat Symbol Files for Curent File. 波形仿真下面以节中project2为例,介绍利用Quartus II 软件自带的仿真器进行波形仿真的步骤。(1)打开project2 项目,新建波形仿真文件,如图;图 新建矢量波形文件(2)在成立的波形文件左侧一栏中,点击鼠标右键,在弹出菜单当选择

9、Insert Node or Bus,如下图;图 矢量波形文件节点加入(3)在显现的图中,选择Node Finder,将打开Node Finder 对话框,本实验对输入输出的管脚信号进行仿真,因此在Filter 当选择 Pins:all,点击List 按钮,如下图;图 节点加入工具框图 Node Finder 对话框(4)在图左栏中选择需要进行仿真的端口通过中间的按钮加入到右栏中,点击OK,端口加入到波形文件中,如图;图 加入仿真节点后的波形图 (5)在图中,选择一段波形,通过左侧的设置工具条,给出需要的值,设置完成鼓励波形,保留后如下图;图 设置好鼓励波形的波形文件 (6)设置为功能仿真:AssignmentTiming Analysis Settings- Simulator SettingsSimulation mode 选择Functional, 生成网络表ProcessingGenerate Functional Simulation Netlist;(7)点击快捷按钮,开始仿真,完成后取得波形如下图,依照分析,功能符合设计要求。图 波形仿真结果

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1