ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:292.47KB ,
资源ID:9262031      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9262031.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于8086波形发生器设计.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于8086波形发生器设计.docx

1、基于8086波形发生器设计信 息 工 程 学 院 课 程 设 计 报 告设计题目: 波形发生器的设计 名 称: 微机原理与接口课程设计 班 级: 1002 姓 名: 学 号: 设计时间: 至 指导教师: 评 语: 评阅成绩: 评阅教师: 一引言波形发生器是一种常用的信号源,广泛的应用于电子电路、自动控制系统和教学实验等领域,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要有信号源。由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察。测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中

2、最基本、应用最为广泛的一类电子仪器。它可以产生多种波形信号,如锯齿波、三角波、梯形波等,因而广泛应用于通信、雷达、导航、宇航等领域。本次课程设计使用的8086和DAC0832构成的发生器可产生三角波,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。此设计给出了源代码,通过仿真测试,其性能指标达到了设计要求。二.设计目的1、掌握DAC0832与PC机的接口方法。2、掌握D/A转换应用程序设计方法。三.原理说明 1.知识简介: DAC0832当今世界在以电子信是8位分辨率的D/A转换集成芯片,与微处理器完全兼容,这个系列的芯片以其价格低廉、接

3、口简单、转换控制容易等优点,在单片机应用系统中得到了广泛的应用。这类D/A转换器由8位输入锁存器,8位DAC寄存器,8位D/A转换电路及转换控制电路构成。 2.DAC0832的引脚及功能:DAC0832是8分辨率的D/A转换集成芯片。与微处理器兼容。这个DA芯片以其价格低廉、接口简单、转换控制容易等优点。D/A转换器由8位输入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。3.应用特性:DAC0832是微处理器兼容型D/A转换器,可以充分利用微处理器的控制能力实现对D/A转换的控制。这种芯片有许多控制引脚,可以和微处理器控制线相连,接受微处理器的控制,如ILE、/CS、/WR1

4、、/WR2、/XFER端。有两级锁存控制功能,能够实现多通道D/A的同步转换输出。DAC0832内部无参考电压源;须外接参考电压源。DAC0832为电流输入型D/A转换器,要获得模拟电压输出时,需要外加转换电路。DAC0832的引脚图及逻辑结构如下图: DAC0832结构框图及引脚排列4.各引脚功能说明:D0D7:8位数据输入线,TTL电平,有效时间应大于90ns(否则锁存器的数据会出错); ILE:数据锁存允许控制信号输入线,高电平有效; CS:片选信号输入线(选通数据锁存器),低电平有效; WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。由ILE、CS、WR1的逻辑组合

5、产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1的负跳变时将输入数据锁存; XFER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效; WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。由WR2、XFER的逻辑组合产生LE2,当LE2为高电平时,DAC寄存器的输出随寄存器的输入而变化,LE2的负跳变时将数据锁存器的内容打入DAC寄存器并开始D/A转换。 IOUT1:电流输出端1,其值随DAC寄存器的内容线性变化; IOUT2:电流输出端2,其值与IOUT1值之和为一常数; Rfb:反馈信号输入线,改变Rfb端外接电阻值可调整转换满

6、量程精度; Vcc:电源输入端,Vcc的范围为+5V+15V; VREF:基准电压输入线,VREF的范围为-10V+10V; AGND:模拟信号地 DGND:数字信号地5.DAC0832三种数据输入方式: (1)双缓冲方式:即数据经过双重缓冲后再送入DA转换电路,执行两次写操作才能完成一次DA转换。这种方式可在DA转换的同时,进行下一个数据的输入,可提高转换速率。更为重要的是,这种方式特别适用于要求同时输出多个模拟量的场合。此时,要用多片DAC0832组成模拟输出系统,每片对应一个模拟量。 (2)单缓冲方式:不需要多个模拟量同时输出时,可采用此种方式。此时两个寄存器之一处于直通状态,输入数据只

7、经过一级缓冲送入DA转换电路。这种方式只需执行一次写操作,即可完成DA转换。 (3)直通方式:此时两个寄存器均处于直通状态,因此要将、和端都接数字地,ILE接高电平,使LE1、LE2均为高电平,致使两个锁存寄存器同时处于放行直通状态,数据直接送入DA转换电路进行DA转换。这种方式可用于一些不采用微机的控制系统中或其他不须0832缓冲数据的情况。四.硬件设计1.8086(8088)CPU 引脚图(如图1): 图12.DAC0832(D/A转换器)D/A0832是8位并行输出电流型D/A转换器,其主要参数:转换时间1us,满量程误差土1LSB,参考电压10V+10V,供电电压+5V+15V,输入逻

8、辑电平与TTL兼容。引脚图(如图2): 图23.8255A(可编程并行接口)8255可编程并行接口芯片有三个输入输出端口,即A口、B口和C口,对应于引脚PA7PA0、PB7PB0和PC7PC0。其内部还有一个控制寄存器,即控制口。通常A口、B口作为输入输出的数据端口。C口作为控制或状态信息的端口,它在方式字的控制下,可以分成4位的端口,每个端口包含一个4位锁存器。它们分别与端口AB配合使用,可以用作控制信号输出或作为状态信号输入。8255可编程并行接口芯片工作方式说明:方式0:基本输入输出方式。适用于三个端口中的任何一个。每一个端口都可以用作输入或输出。输出可被锁存,输入不能锁存。 方式1:选

9、通输入输出方式。这时A口或B口的8位外设线用作输入或输出,C口的4条线中三条用作数据传输的联络信号和中断请求信号。方式2:双向总线方式。只有A口具备双向总线方式,8位外设线用作输入或输出,此时C口的5条线用作通讯联络信号和中断请求信号。引脚图(如图3): 图34.8284在8086CPU内部没有有时时钟发生器,当组成微型机系统时,所需的时钟信号由外部时钟发生器提供。 8284是专门为8086设计的时钟发生器/驱动器。在8284中,不仅有时钟信号发生器,还有复位信号RESET和 准备好信号READY产生电路,这些电路分别向8086系统提供时钟信号CLK,复位信号RESET和准备好信号READY

10、,还可向外界提供晶振信号OSC以及外围芯片所需的时钟信号PCLK。引脚图(如图4): 图4五.设计原理 D/A转换器产生各种波形的原理:利用D/A转换器输出的模拟量与输入数字量成正比关系这一特点,将D/A转换器作为微机输出接口,CPU通过程序向D/A转换器输出随时间呈现不同变化规律的数字量,则D/A转换器就可输出各种各样的模拟量,如方波、三角波、锯齿波、正弦波等。8255A实现波形切换的原理:从8255A的B口读入外接开关的信号,CPU读入不同信号值,从而执行不同的代码,向D/A转换器传送不同的数据,控制D/A转换器输出锯齿波、三角波、方波、正弦波和梯形波。DAC0832采用双缓冲数据输入方式

11、。数据要经过两级锁存后才送入D/A转换器。数据写入分两次进行,第一次把待转换的数据写入输入寄存器,第二次再对DAC寄存器进行一次写操作。延迟作用:方便观察到输出各种波形高低电平时,信号灯发光和熄灭的情况。a.实验电路图:六.程序编译1.输出方波的子程序(一)编程思路:a.设置8255A的工作方式 b.启动DAC0832转换器 c.写初值,延时 d.取反,延时 e.返回(二)编译子程序:model smallstack 200hcodestart: MOV DX,213H ;8255A控制口地址 MOV AL,82H OUT DX,AL MOV DX,200H ;DAC0832的地址 AGAIN

12、: MOV AL,00H OUT DX,AL ;输出方波为“0” CALL DELAY ;f方波宽度 MOV AL,0FFH OUT DX,AL ;输出方波为“1” CALL DELAY ;方波宽度 JMP AGAIN DELAY: MOV CX,0ffffH; LOOP $ RET END start2.输出三角波子程序(一)编程思路:a.设置8255A的工作方式 b.启动DAC0832转换器 c.写初值,延时 d.初值加1,返回 e.取反,延时 f.取反值减1,返回 g.循环 (二)编译子程序:model smallstack 200hcodestart: MOV DX,213H ;825

13、5A控制口地址 MOV AL,82H OUT DX, AL L: MOV DX,200H ; DAC0832的地址 MOV AL,00H ;正向初值 M: OUT DX,AL CALL delay INC AL JNZ M MOV AL,0FFH ;负向初值 N: OUT DX,AL CALL delay DEC AL JNZ N JMP L delay: mov cx,0fffh day1: loop day1 ret int 21h END start3.输出锯齿波子程序(一)编程思路:a.设置8255A的工作方式 b.启动DAC0832转换器 c.写初值 d.初值加1,延时 e.返回 g

14、.循环(二)编译子程序:model smallstack 200hcodestart: MOV DX,213H ;设置8255A控制口地址 MOV AL,82H OUT DX,AL ;设置8255A口A为方式0输出 MOV DX,200H ;DAC0832的地址 MOV AL,00H ;输出数据初值 J: OUT DX,AL ;锯齿波输出 INC AL CALL delay JMP J delay: mov cx,0fffhday1: loop day1 ret int 21h;END start4.输出正弦波子程序(一)编程思路:a.写正弦波存储数地址 b.写初值 c.地址加1,取值加1 d

15、.启动DAC0832转换器,输出值 e.延时 f.设置8255A,返回 g.循环(二)编译子程序:model smallstack 200hcodestart:ZXBB: LEA BX,TAB MOV CX,0001HZX2: MOV AL,BX ;将TAB 中的数字一次赋给AL再输出正弦波 INC BX INC CX MOV DX,200H OUT DX,AL CALL DELAY PUSH AXBG2: MOV DX,211H ;再次读入开关信号,进行比较 IN AL,DX CMP AL,04H JNZ BG2 POP AX CMP CX,256 JNE ZX2 JMP ZXBBdelay

16、: mov cx,0fffhday1: loop day1 ret int 21h end start5.输出梯形波子程序(一)编程思路:a.启动DAC0832转换器 b.写低电平段,延时,返回 c.写上升段,延时,返回 d.写高电平段,延时,返回 e.写下降段,延时,返回 f.设置8255A,返回 g.循环(二)编译子程序:model smallstack 200hcodestart:TXBB: MOV CX,0100H ;梯形波 MOV AL,00H LL0: MOV DX,200H ;低电平段 OUT DX,AL CALL DELAY DEC CX JNZ LL0 LL1: INC AL

17、 ;上升段 MOV DX, 200H OUT DX,AL CALL DELAY CMP AL,6FH JNE LL1 MOV CX,0100H LL2: MOV DX, 200H OUT DX,AL ;高电平段 CALL DELAY DEC CX JNZ LL2 LL3: DEC AL ;下降段 MOV DX, 200H OUT DX,AL CALL DELAY CMP AL,00H JNZ LL3 MOV DX,211H ;再次读入开关信号,进行比较 IN AL,DX CMP AL,06H JNZ BG3 JMP TXBBdelay: mov cx,0fffhday1: loop day1

18、ret int 21h end start七.调试方法与结果 a.实验箱接法: 转换器的CS0832接译码器的200h233h端口,转换器的VOUT端口接信号灯,8255A的8255端口接230h-233h端口,8255A的PB1,PB2,PB3接信号灯 实验结果:1.方 波:信号灯一明一暗 2.三角波:信号灯慢慢亮再慢慢暗再慢慢亮 3.锯齿波:信号灯慢慢亮再暗再慢慢亮 4.正弦波:信号灯慢慢亮再慢慢暗再慢慢亮 5.梯形波:信号灯先暗再慢慢亮,持续,在慢慢暗 b.实验结果图:八、心得体会开始的时候由于没有经验,不知如何下手,所以就上网搜了很多关于函数发生器的资料,并翻阅了一些相关书籍。我们小组经过此课程设计我们积累了一点设计经验,最后成功运行出结果的时候也有些小小的成就感。在本次课程设计中,无论是在程序设计方面还是实践动手能力都得到了一个非常大的锻炼,并对硬件知识的了解以及安装调试能力也得到了一个很大的提升。通过这次课程设计,也非常的清楚的认识了这门课程的重要性,也意识到了自己在程序设计方面的薄弱性。希望在以后的学习和工作中能进一部的加强自己专业素质和实践动手能力

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1