ImageVerifierCode 换一换
格式:DOCX , 页数:25 ,大小:119.65KB ,
资源ID:9195116      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9195116.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(超声波身高测量仪自己写的.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

超声波身高测量仪自己写的.docx

1、超声波身高测量仪自己写的目录摘 要 2引 言.4第一章 系统方案设计 51.1 设计要求 51.2 设计思路 51.2.1 超声波的接收与处理 51.2.2 身高测量算法构思 51.2.3 硬件部分调试分析构思 61.2.4 设计思路总结 6第二章 设计原理及设计框图 72.1 设计原理 72.2 设计框图 7第三章 传感器和电源的比较与选择 93.1 传感器设计的方案选择 93.1.1超声波T/R40-10传感器设计电路 93.1.2超声波LM1812传感器设计电路 93.2 电源电路设计的方案选择 103.2.1采用二极管稳压电路设计: 103.2.2采用7805三端稳压器电源: 11第四

2、章 系统硬件电路设计 124.1 单片机系统及显示电路 124.2 超声波发射电路 144.3 超声波检测接收电路 144.4 共阴极七段LED数码管 15第五章 系统软件设计 165.1 程序流程图 165.2 主程序设计 175.3 超声波发射子程序和接收中断程序设计 175.3.1 超声波发射子程序 175.3.2 超声波接收中断程序 18第六章 电路调试与分析 206.1硬件部分调试与分析 206.2程序调试与分析 20参考文献. 21附 录 22附录 A 主要原理图 22附录 B 产品及市场成品图 23附录 C C语言程序 24超声波身高测量仪摘 要:论文首先介绍了超声波测身高的基本

3、原理,随后对几种可性的方案进行了方案论证,确定最后的设计方案,并对整个的设计方案作了详细的介绍。在此本设计中硬件设计电路主要由单片机系统及显示电路、超声波发射电路和超声波检测接受电路组成。软件设计由主程序、超声波发生子程序、超声波接收中断程序及显示子程序等部分。本次设计用超声波良好的反射性能,采用回波测距法来实现。使用在空气中效率较高的中心频率为40KHz的超声波探头来完成发射与接收功能,用四位数字显示以达到1cm的分辨率和1-3m的测量范围。公式如下:d=s/2=(c*t)/2 。d为被测物与测发生器的距离,s为声波的来回路程,c为声速,t为声波来回所用的时间。在此基础上设计了系统的总体方案

4、,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。关键词: AT89C52 超声波 发射 接收Ultrasonic height measuring instrumentAbstract:Paper first introduces the basic principle of ultrasonic distance measurement, then the solution of several can be carried out of the demonstration program to determine the final design, and de

5、sign a whole were described in detail. In this design, hardware design of the circuit mainly by the SCM system and the display circuit, ultrasonic transmitter and ultrasonic testing to accept circuit. Software design from the main program, subroutine ultrasound, ultrasound receiver interrupt program

6、 and display routines and other parts. The design of ultrasonic sound reflection properties, the use of echo ranging method to achieve. Higher efficiency in air using a center frequency of 40KHz ultrasound probe to complete transmit and receive functions, with four figures in order to achieve a reso

7、lution of 0.1cm and 1-3cm of the measuring range. The following formula: d = s / 2 = (c * t) / 2. d for the measured object and measuring the distance between generator, s is the sound of the round-trip distance, c is the speed of sound, t is the sound back and forth the time spent. On this basis, t

8、he overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. Keywords: AT89C52,Ultrasonic,Launch,Receive引 言超声波测身高就是利用反射特性,通过发生器不断发射出40KHz超声波遇到障碍物后反射会反射波,在通过接收器接收发射波信号,并将其转换为电信号。相比

9、于其他技术,超声波定位技术体积小、成本高,制作也简易。非常适合短距离的测量定位。人体身高距离是在不同的场合和控制中需要检测的一个参数,测距成为数据采集中要解决的一个问题。超声波检测往往比较迅速、方便、计算简单、易于做到实时控制由于超声波指向性强,能量消耗缓慢,在介质中传播的距离较远,因而超声波经常用于距离的测量,况且它适合与高温,高粉尘,高湿度和高强电磁干扰等恶劣环境下工作。其用途极度广泛,例如:测绘地形图,建造房屋、桥梁、道路、开挖矿山、油井等。超声测距仪在先进的机器人技术上也有应用,把超声波源安装在机器人身上,由它不断向周围发射超声波并且同时接收由障碍物反射回波来确定机器人的自身位置,用它

10、作为传感器控制机器人等等。单片机一般由中央处理器CUP、存储器和输入输出I/O组成。自1979年第一台单片机诞生以来,单片机作为微型计算机一个分支,以其体积小、功能多、应用灵活等诸多优势,得到越来越广泛的应用。展望未来,超声波测身高作为一种新型的非常重要有用的工具在各方面都将有很大的发展空间,它将朝着更高定位更高精度的方向发展,以满足日益发展的社会需求。本设计采用超声波在空气中运行原理设计的一种光机电一体化的身高测量仪。下面介绍本次设计的具体实现方法。第一章 系统方案设计方案设计是整个设计首要解决的问题,没有好的方案就没有好的设计。下面做本次设计方案的详细介绍。1.1 设计要求设计一个超声波测

11、身高的作品,以空气中超声波传播速度为确定条件,利用超声波的发射与反射时间差来测量待测的身高距离。要求电路简洁,制作方便、性能可靠。测量范围不低于13米,测量精度为1cm,能够清晰稳定地显示测量结果,测量结果以每1cm往上递增,当高位为“0”时,则不显示,以达到省电的目的,当测量距离低于最小距离时,则显示最小距离“30”cm。1.2 设计思路超声波是指频率高于20KHz的机械波。为了以超声波作为检测手段,必须产生超声波和接收超声波。超声波传感器是利用压电效应的原理将电能和超声波相互转化,即在发射超声波的时候,将电能转换,发射超声波;而在收到回波的时候,则将超声振动转换成电信号。1.2.1 超声波

12、的接收与处理接收头采用与发射头配对的UCM40R,将超声波调制脉冲变为交变电压信号,经运算放大器IC1A和IC1B两极放大后加至IC2。IC2是带有锁定环的音频译码。集成块LM567,内部的压控振荡器的中心频率f0=1/1.1R8C3,电容C4决定其锁定带宽。调节R8在发射的载频上,则LM567输入信号大于25mV,输出端8脚由高电平跃变为低电平,作为中断请求信号,送至单片机处理。1.2.2 身高测量算法构思超声波发生器在某一时刻发出一个超声波信号,当遇到被测物体后阿佘回来。被接收器所接收到。发出超声波信号到接受到返回信号所用的时间,就可以算出超声波发生器与反射物体的距离。公式如下:d=s/2

13、=(c*t)/2 。d为被测物与测发生器的距离,s为声波的来回路程,c为声速,t为声波来回所用的时间。1.2.3 硬件部分调试分析构思在硬件方面将超声波接收电路用金属壳屏蔽起来,从而提高抗干扰能力。声波发射和接收采用15的超声波换能器TCT40-10F1(T发射)和TCT40-10S1(R接收),中心频率为40kHz,安装时应保持两换能器中心轴线平行并相距48cm 。根据测量范围要求不同,可适当调整与接收换能器并接的滤波电容的大小,以获得合适的接收灵敏度和抗干扰能力。在软件方面根据所设计的电路参数和程序,测距仪能测的范围为1m3m,测距仪最大误差不超过1cm。系统调试完后应对测量误差和重复一致

14、性进行多次实验分析,不断优化系统使其达到实际使用的测量要求。同时可以修改超声波发生子程序每次发送的脉冲宽度和两次测量的间隔时间,以适应不同距离的测量需要。由于采用的电路使用了很多的集成电路。对集成电路有很大的要求,对元器件方面五特别的要求。因为外围的元器件不是很多,所以在调试方面应该不会出现很大的问题,但在焊接方面需要留心,最好无误。1.2.4 设计思路总结由于超声波指向性强,能量消耗缓慢,在介质中传播距离较远,因而超声波可以用于距离的测量。比如本次身高的测量。超声波测身高的原理一般首先测出超声波从发射到遇到人体头部返回所经历的时间,再乘以超声波的速度就得到二倍的声源与人体头部之间的距离。超声

15、波发生器可以分为两类:一类是用电气方式产生超声波,一类是用机械方式产生超声波。本课题属于近距离测量,可以采用常用的压电式超声波换能器来实现。利用超声波检测身高,设计比较方便,计算处理也较简单,并且在测量精度方面也能达到要求。超声波在标准空气中的传播速度为331.45米/秒,由单片机负责计时,单片机使用12MHz晶振,所以此系统的测量精度理论上可以达到毫米级。根据设计要求并综合各方面因素,可以采用AT89C52单片机作为主控制器,用动态扫描法实现LED数字显示,超声波驱动信号用单片机的定时器完成。第二章 设计原理及设计框图2.1 设计原理该原理是主要利用超声波在空气中的传播速度为已知。根据测量声

16、波发射到反射回来的时间差计算实际身高距离。由单片机系统及显示电路、超声波发射和检测接收电路三部分组成。采用AT89C52来实现对CX20106A红外接收芯片和TCT40-10系列超声波转换模块的控制。单片机发出信号,经过放大输出,经过锁相环检波处理,气动单片机的中断程序,测得时间t,同时对系统软件进行分析、辨别、计算,得出数据,用动态扫描法实现LED显示结果。超声波的驱动信号用单片机的定时器完成。单片机通过P1.0引脚经反相器来控制超声波的发送,然后单片机不停的检测INT0引脚,当INT0引脚的电平由高电平变为低电平时就认为超声波已经返回。计数器所计的数据就是超声波所经历的时间,通过换算就可以

17、得到传感器与障碍物之间的距离S。设其往返时间为t,速度为v,则得距离为s=vt/2 。传感器通过声波的波长和发射声波以及接收到返回声波的时间差就能确定人的身高,在发送脉冲的同时,接收器的计数器启动并开始计数,直到接收传感器接收反射回波后,计数停止,该时间差相当于测量的距离,从而测算出人体的身高。2.2 设计框图由单片机产生40KHz左右的脉冲并输出,经调制器将脉冲信号放大和振荡器振荡后,由超声波发射器发射出超声波,遇到障碍物返回被超声波接收器接收到后,经过接收检测装置对接受信号进行处理和计时器计时后,由单片机计算超声波发射器到障碍物之间的距离,并将计算结果由显示器显示。为此设计了超声波测身高原

18、理框图如下(图2.1):图2.1超声波测身高原理框图第三章 传感器和电源的比较与选择3.1 传感器设计的方案选择超声波测身高设计电路主要由电源电路、单片机系统及显示电路、超声波发射电路和超声波检测接受电路组成。下面方案选择具体介绍。3.1.1超声波T/R40-10传感器设计电路电路主要由以下电路构成:超声波传感器T/R40-10、超声波发射与接收构成的收发电路;中央控制处理器AT89C52组成的主机电路;输出显示电路等。它是一种性能优良的发射与接收配对的传感器。该电路主要用电磁式输出与输入振荡电路,所输入的信号经过放大,直接发送到单片机AT89C52单片机进行处理,通过编程可以进行自动控制。该

19、电路的主要特点有: 该产品的互换性好,响应速度快,抗干扰能力强,外围电路简单,不需要布线直接通过发射模块进行无线发射。因此体积小。 该电路的测量精度很高,能在TA = +25oC、UCC = +5V 的条件下,测量误差不超过2cm。3.1.2超声波LM1812传感器设计电路电路采用LM1812并有时基电路来控制LM1812的发送与接收(LM1812即发送又接收)。控制距离可用5千欧的电位器来调节。LM1812是一种性能优良,且既能发送又能接收超声波的通用型超声波集成器件。芯片内包括:脉冲调制C类振荡器,高增益接收器,脉冲调制检测器及噪音抑制器。它除了可用于遥控器、报警器、自动门控制及通信方面外

20、,还可用于工业上的料位或液位的测量与控制、测距及测厚等方面,应用广泛。采用LM1812的特点有:(1)检测器输出可驱动1A的峰值电流(2)器件内部有保护电路(3)在电路中使用时不用外接晶体管驱动(4)使用时不用外接散热器(5)器件具有互换性(6)可以使用一个发送/接收换能器工作,也可使用两个换能器分别发送和接收超声波(7)发送功率可达12W(峰值)所以此方案响应速度慢,抗干扰能力相对较弱,外围电路相对较复杂。通过以上方案的分析,决定根据方案一作为设计方案。无论是在性能、特点、还是电路材料上,或者是在原理图上、设计上等都具有简单、使用性强等特点。32 电源电路设计的方案选择电源系统通常由电源变压

21、器、整流电路、滤波电路、稳压电路等构成。各种电子线路均需要直流电源来供电,而电网能提供给我们的电源却是交流的,这就需要有一个转换电路把交流电压变成比较稳定的直流电电压。电源变压器将电网提供的交流电压变换到电子线路所需的交流电压范围,同时还可起到直流电源与电网的隔离作用,可升压也可降压。实现这种功能的电路就叫直流稳压电源,简称直流电源。下面方案选择具体介绍。3.2.1采用二极管稳压电路设计:在图3.1中,稳压二极管的稳压值为5.1V,即当D1阴极加电压超过5.1V时,二极管阴极电压就会保持5.1V不变。在电路中R1的作用是限流,这是由于D1阴极电压超过5.1V稳压值后,稳压二极管被反向击穿,流过

22、D1的电流将迅速增大,此时R1上的电压也会随之而增大,以保证稳压管功耗限制在安全区内。此时即使输入电压在一定的范围增加,输出端都会保持稳定电压。但若反向电流增大到一定数值后,稳压二极管则会被彻底击穿而损坏。电路如图3.1所示,该电路是用硅稳压二极管的反向击穿特性实现稳压的。不过该电路设计现在应用不广泛,一般都采用集成稳压电路,所以此方案不选用。图3.1 二极管稳压电路3.2.2采用7805三端稳压器电源:集成稳压器是将直流稳压电路的调整管、稳压管、比较放大器和多种保护电路集成到一块芯片上的单片集成稳压电源。它具有体积小、可靠性高、使用简单安全等特点。而三端集成稳压器又是集成稳压器最常用的一种。

23、7805是固定式三端集成稳压器,其输出为+5v。它输出最大电流可达1A(需加散热片)。温度范围为0C125C。如图3.2所示,7805是由它只有三个外部接线端子,即输入端、输出端和公共端(输出脚VO,输入脚Vi和接地脚GND)组成。三端固定式集成稳压器有正稳压器78XXX系列和负稳压器79XXX系列。78L05输出额定电压为5V,最大输出电流为100mA。78系列与79系列的输出引脚号不同,在使用时应特别注意。电路中C1为主滤波电容,对额定输出电流100mA,500mA,1.5A的稳压电路,C1最好分别用220F、1000F、3300F以上,以取得良好的滤波效果,C2、C3在印制板上要尽可能靠

24、近集成稳压器的输入输出端以消除可能产生的高频自激振荡。它们的输入电压至少比输出的额定电压大3V以上(本设计中输入电压为12V),才能有良好的稳定电压输出,但两者差别太大,集成稳压器上的管耗大,发热量也大。图3.2 7805稳压电源电路第四章 系统硬件电路设计4.1 单片机系统及显示电路单片机采用AT89C52或其兼容系列。采用12MHz高精度的晶振,以获得较稳定的时钟频率,减小测量误差。单片机用P1.0端口输出超声波转换器所需的40KHz方波信号,利用外中断0口检测超声波接收电路输出的返回信号。显示电路采用简单实用的4位共阳LED数码管,段码用74LS245驱动,位码用PNP三极管驱动。单片机

25、系统及显示电路如下图所示:图4.1 单片机系统及显示电路4.2 超声波发射电路超声波发射电路原理图主要由反相器74LS04和超声波发射换能器T构成,单片机P1.0端口输出的40kHz的方波信号一路经一级反向器后送到超声波换能器的一个电极,另一路经两级反向器后送到超声波换能器的另一个电极,用这种推换形式将方波信号加到超声波换能器的两端,可以提高超声波的发射强度。单片机产生40KHz的脉冲,由P1.0口输出,经74LS04六反向器将脉冲信号放大后,由压电超声波转换器T40-10发射超声波。压电超声波转换器的功能:利用压电晶体谐振工作。它有两个压电晶片和一个共振板。当它的两极外加脉冲信号,其频率等于

26、压电晶片的固有振荡频率时,压电晶片将会发生共振,并带动共振板振动产生超声波,这时它就是一超声波发生器。如没加电压,当共振板接收到超声波时,将压迫压电振荡器作振动,将机械能转换为电信号,这时它就成为超声波接收转换器。超声波发射转换器与接收转换器其结构稍有不同。图4.2 超声波发射电路输出端采两个反向器并联,用以提高驱动能力。上位电阻R19、R20一方面可以提高反向器74LS04输出高电平的驱动能力,另一方面可以增加超声波换能器的阻尼效果,缩短其自由振荡时间。4.3 超声波检测接收电路考虑到红外遥控常用的载波频率38 kHz与测距的超声波频率40 kHz较为接近,可以利用集成电路CX20106A制

27、作超声波检测接收电路如图4.3。这是一款红外线检波接收的专用芯片,常用于电视机红外遥控接收器。考虑到红外遥控常用的载波频率38KHz与测距超声波频率40KHz较为接近,可以利用它作为超声波检测电路。图4.3超声波接收电路验证明用CX20106A接收超声波(无信号时输出高电平),具有很好的灵敏度和较强的抗干扰能力。适当更改电容C4的大小,可以改变接收电路的灵敏度和抗干扰力。4.4 共阴极七段LED数码管数码管是常用的数值数据显示组件,通常实现一位数码管显示的控制,是使用静态的控制方式,将显示的数据送到数码管后程序可做其他事情,这在程序设计上十分容易。但是在设计多位数码管显示控制时,静态的控制方式

28、就比较浪费I/O的控制线了,对于多位数码管显示的实现,最常用的认识方法是扫描法。当工作时,每次只点亮一位数字进行显示,延迟一小段时间后再点亮下一位数字进行显示,因为人们视觉暂留的现象,而感觉4位数字同时被点亮。第五章 系统软件设计超声波测身高的软件设计主要由主程序、超声波发射子程序及超声波接收中断程序组成。5.1 程序流程图软件分为两部分, 主程序和中断服务程序, 如图5.1所示。主程序完成初始化工作、超声波发射和接收顺序的控制。定时中断服务子程序完成超声波回波接收, 外部中断服务子程序主要完成时间值的读取、距离计算、结果的输出等工作。 图5.1主程序及外中断程序流程图5.2 主程序设计主程序

29、首先对系统环境初始化,设置定时器T0工作模式为16位的定时计数器模式,置位总中断允许位EA并给显示端口P0和P2清0。然后调用超声波发生子程序送出一个超声波脉冲,为避免超声波从发射器直接传送到接收器引起的直接波触发,需延迟0.1ms(这也就是测距器会有一个最小可测距离的原因)后,才打开外中断0接收返回的超声波信号。由于采用12MHz的晶振,机器周期为1us,当主程序检测到接收成功的标志位后,将计数器T0中的数(即超声波来回所用的时间)按下式计算即可测得被测物体与测距仪之间的距离,设计时取20时的声速为344m/s则有: d=(C*T0)/2=172T0/10000cm(其中T0为计数器T0的计

30、数值)。 测出距离后结果将以十进制BCD码方式显示,然后再发超声波脉冲重复测量过程。5.3 超声波发射子程序和接收中断程序设计超声波发射子程序的作用是通过P1.0端口发送2个左右的超声波信号频率约40KHz的方波,脉冲宽度为12us左右,同时把计数器T0打开进行计时。超声波测距器主程序利用外中断0检测返回超声波信号,一旦接收到返回超声波信号(INT0引脚出现低电平),立即进入中断程序。进入该中断后就立即关闭计时器T0停止计时,并将测距成功标志字赋值1。如果当计时器溢出时还未检测到超声波返回信号,则定时器T0溢出中断将外中断0关闭,并将测距成功标志字赋值2以表示此次测距不成功。5.3.1 超声波

31、发射子程序#definek1P3_4 #definecsboutP3_5/超声波发送 #definecsbintP3_7/超声波接收 #definecsbc=0.034 #definebgP3_3 unsignedcharcsbds,opto,digit,buffer3,xm1,xm2,xm0,key,jpjs;/显示标识 unsignedcharconvert10= 0x3F,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f;/09段码 unsignedints,t,i,xx,j,sj1,sj2,sj3,mqs,sx1; bitcl; voidcsbcj(); voiddelay(j);/延时函数 voidscanLED();/显示函数 voidtimeToBuffer();/显示转换函数 voidkeyscan(); voidk1cl(); voidk2cl(); voidk3cl(); voidk4cl(); void

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1