ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:227KB ,
资源ID:9108512      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9108512.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的数字钟设计.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的数字钟设计.docx

1、基于FPGA的数字钟设计 基于FPGA的数字钟系统 姓名:蒋佳霖 学号:1023000657 学院:物理与电子学院摘要:本文利用FPGA的Verilog语言设计数字钟系统。并采用Quartuse进行综合,仿真,实验。经过测试,本文所设计的系统基本可以实现数字的计时和显示,调整时间的功能。关键字:FPGA,Verilog语言,数字钟系统1、 设计所实现的功能本文所设计的数字钟系统能进行时,分,秒的显示,和对时间的校正。能够利用按键进行“调时”,“调分”,“清秒”的功能,随时对数码管进行调整和校正。数字钟系统是由系统时钟,数码管,FPGA,三个功能键组成。2、 设计所采用的思想本文所设计的数字钟系

2、统采用Veriloig自顶而下的设计方法。在编程中,通过键位的数值的判断来调用例化语句实现控制模块对计时模块和分频模块的控制。3、 各模块的代码及测试情况分频模块的代码:module szz_fenpin(clk,clk_1HZ);input clk;outpit clk_1HZ;reg31:0 count; always (posedge clk) begin if ( count M/2-1) begin count = count + 1; end else begin count = 0; clk_1HZ = clk_1HZ; endendendmodule注:本文所使用的分频模块只能

3、进行偶数分频。M为分频的倍数。计时模块:module szz_js(clk_1HZ,num1,num2,num3,num4,num5,num6);input clk_1HZ;output reg3:0 num1,num2,num3,num4,num5,num6;always(posedge clk_1HZ)begin if(num6=4b10)&(num5=4b100)begin num5=4b0;num6=4b0;endelse if(num5=4b1001)beginnum6=num6+4b1;num5=4b0;endelse if(num4=4b0110) beginnum5=num5+

4、4b1;num4=4b0;endelse if(num3=4b1001)beginnum3=4b0;num4=num4+4b1;endelse if(num2=4b0110)beginnum2=4b0;num3=num3+4b1;endelse if(num1=4b1001)beginnum1=4b0;num2=num2+4b1;endelsebeginnum1=num1+4b1;endendendmodule 注:本文所使用的计时模块的代码采用状态机,非阻塞语句赋值,所以在判以后不会立即清零,所以判断采用是当其为5的时候才进行下一次状态,并在下一次状态进行清零。控制语句:module szz

5、_kz(clk,rst,turn1,turn2,turn3,n1,n2,n3,n4,n5,n6,rs1,rs2,rs3,rs4,rs5,rs6);input clk,turn1,turn2,turn3,rst;input 3:0 n1,n2,n3,n4,n5,n6;output reg3:0 rs1,rs2,rs3,rs4,rs5,rs6;reg3:0num1,num2,num3,num4,num5,num6;always(posedge clk)beginif(!rst)beginrs1=n1;rs2=n2;rs3=n3;rs4=n4;rs5=n5;rs6=n6;endelse beginr

6、s1=n1;rs2=n2;rs3=n3;rs4=n4;rs5=n5;rs6=n6;rs5=rs5+num5;rs3=rs3+num3;if(turn1) begin rs5=n5; num5=num5+4b1; endif(turn2) begin rs3=n3; num3=num3+4b1; endif(turn3) begin rs2=0; rs1=0; endendendmodule注:本控制程序是对键位的高电平进行监测,则进行清秒,调分,调时的功能。4、 程序的测试图图为计数模块的部分的图形。图为程序调试以后的结果5、 程序代码注:因为在FPGA的试验台上有1HZ的CLK信号,所以在实

7、现时可以将CLK信号直接设置为1HZ,故省略例化分频语句。module szz_js(clk_1HZ,num1,num2,num3,num4,num5,num6);input clk_1HZ;output reg3:0 num1,num2,num3,num4,num5,num6;always(posedge clk_1HZ)begin if(num6=4b10)&(num5=4b100)begin num5=4b0;num6=4b0;endelse if(num5=4b1001)beginnum6=num6+4b1;num5=4b0;endelse if(num4=4b0110) beginn

8、um5=num5+4b1;num4=4b0;endelse if(num3=4b1001)beginnum3=4b0;num4=num4+4b1;endelse if(num2=4b0110)beginnum2=4b0;num3=num3+4b1;endelse if(num1=4b1001)beginnum1=4b0;num2=num2+4b1;endelsebeginnum1=num1+4b1;endendendmodule module szz_kz(clk,rst,turn1,turn2,turn3,n1,n2,n3,n4,n5,n6,rs1,rs2,rs3, rs4,rs5,rs6)

9、;input clk,turn1,turn2,turn3,rst;input 3:0 n1,n2,n3,n4,n5,n6;output reg3:0 rs1,rs2,rs3,rs4,rs5,rs6;reg3:0num1,num2,num3,num4,num5,num6;always(posedge clk)beginif(!rst)beginrs1=n1;rs2=n2;rs3=n3;rs4=n4;rs5=n5;rs6=n6;endelse beginrs1=n1;rs2=n2;rs3=n3;rs4=n4;rs5=n5;rs6=n6;rs5=rs5+num5;rs3=rs3+num3;if(turn1) begin rs5=n5; num5=num5+4b1; endif(turn2) begin rs3=n3; num3=num3+4b1; endif(turn3) begin rs2=0; rs1=0; endend

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1