ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:189.61KB ,
资源ID:8946479      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8946479.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(课程设计fpga密码锁.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

课程设计fpga密码锁.docx

1、课程设计fpga密码锁FPGA实 验 报 告实验名称:FPGA课程设计实验日期:2013年6月3日姓 名:学 号:一、实验目的1设计一个密码锁 2加深FPGA电路原理的理解3掌握VHDL语言的使用,学会用VHDL语言来编程解决实际问题4.学会使用EDA开发软件设计小型综合电路,掌握仿真的技巧5.学会应用开发系统实现硬件电路,检验电路的功能二、实验内容题目:电子密码锁内容:设计一个4位串行数字锁1开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁。否则进入“错误”状态,发出报警信号。2锁内的密码可调,且预置方便,保密性好。3串行数字锁的报警,直到按下复位开关

2、,才停下。此时,数字锁又自动等待下一个开锁状态。三、实验步骤1.系统总框图本系统的硬件部分主要由密码锁按键消抖模块,密码输入比较更改模块,密码显示模块、报警模块组成。整体系统框图如下图所示。2.密码锁的主要功能密码锁控制器的主要功能有:(1)密码输入:有二个按键来控制(分别代表0和1),每按下一个键,要求在数码管上显示,并依次左移。(2)密码校验:如果有按键按下,直到松开该按键,如果密码校验正确,无变化,否则如果密码校验错误蜂鸣器响,表明密码错误。(3)错误报警:密码输入错误开始报警。(4)密码修改:输入密码正确后按按键输入要设置和更改的密码,按按键确认密码设置与更改,则密码设置成功。3.密码

3、锁的各个模块(1)按键消抖。每按下一个键,仅产生一个信号脉冲,作为按键的使能信号,使能信号控制显示的数字。(2)密码输入比较密码输入值的比较主要有两部分 ,密码位数和内容 ,任何一个条件不满足 ,都不能打开锁。锁内密码为 “0000” , key2 和 key1 置低电平 ,分别表示输入 “1” 和 “0” 。输入密码前先按start键,再依次正确输入0000,会在数码管逐一显示,按确认键,经检验 ,输入的密码 等于锁内预先设置的密码密码锁开启信号,锁开启。同时,可以进行密码修改。若在输入密码的过程中 ,4 位二进制密码出现输入错误 ,那么锁不能开启 ,同时,蜂鸣器发出报警信号 。直到按下复位

4、开关 ,报警才停止。此时 ,数字锁又自动进入等待下一次开锁的状态。(3)密码修改为防止任意进行密码修改 ,必须在正确输入密码后 ,才能重新设置密码。输入正确密码后 ,锁打开 ,就可直接进行修改密码的操作。修改密码实质就是用输入的新密码去取代原来的旧密码, 按确定按键ok, 存储新密码。(4)报警 对50M晶振进行分频,实现对蜂鸣器的控制(5)数码显示八段数码管是电子开发过程中常用的输出显示设备。在本设计中使用的是8个四位一体、共阴极型八段数码管。其单个静态数码管如下图所示。由于八段数码管公共端连接到VCC(共阳极型),当数码管的中的一个段被输入低电平,则相应的这一段被点亮。反之则不亮。四位一体

5、的八段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。 单个数码管管脚示意图 本实验通过计数信号count控制数码管亮的个数,用temp信号控制数码管的动态扫描显示,以实现每输入一位密码,数码管显示左移一位。4.软件仿真 (1)密码输入正确时,蜂鸣器不响,beep=1。(默认密码为0000),仿真图如下:(2)密码输入错误时(0001),蜂鸣器响,beep=0。(默认密码为0000),仿真图如下:四、实验总结这次密码锁的设计过程表明,用V

6、HDL可以快速、灵活地设计出符合要求的密码锁控制器,而且操作简单。可以实现密码输入、密码校验、密码设置和更改等功能。设计过程能够在设计完成后在Quartus环境下进行电路的模拟仿真,反馈结果可以验证程序设计的可行性与可靠性。本密码锁控制器设置的是4位密码,在系统复位后,输入一个完整的密码串,输入完后,系统会进行比对,如果发现密码吻合,则开门,如果输入的密码串都是错误的,则系统报警。这样的设计可以很好的满足人们的日常需求。同时,密码锁还具有密码修改功能,方便操作,使得密码锁的使用更加安全、便捷。在软件、硬件设计和仿真过程中间我们也遇到不少问题,但最终还是把它们解决了,使得设计符合要求。除了自己思

7、考设计之外,这与和同学的同心协力的合作与讨论是分不开的的。相互的探讨使得我们的思路更加开阔,解决问题的办法也更多。总之,此次课程设计让我收益良多,同时因为有了实践操作,对EDA技术能够更好的掌握和应用了。附:VHDL程序总代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity lock isport( key1,key2:in std_logic; -按键 clk,start,ok: in std_logic; -时钟,开始,确定

8、,复位 beep:out std_logic:=1; -正确,蜂鸣器信号 dig:out std_logic_vector(7 downto 0); -数码管片选信号 seg:out std_logic_vector(7 downto 0) -数码管片内显示 );end lock;architecture rt1 of lock issignal keyin,password:std_logic_vector(3 downto 0):=0000; -输入密码,密码signal open1:std_logic:=0; -开锁信号signal beep1,keyen1,keyen2:std_log

9、ic:=1; -蜂鸣器信号signal shuru:std_logic; -输入信号signal count: std_logic_vector(2 downto 0):=000; -计数输入密码的位数signal temp: std_logic_vector(1 downto 0):=00; beginprocess(clk,key1)variable m:integer range 0 to 250000; -按键消抖 begin if clkevent and clk=1then if key1=0 then if m=250000 then m:=m; else m:=m+1; end

10、 if; if m=249999 then keyen1=0; else keyen1=1; end if; else m:=0; end if; end if;end process;process(clk,key2)variable a:integer range 0 to 250000; -按键消抖 begin if clkevent and clk=1then if key2=0 then if a=250000 then a:=a; else a:=a+1; end if; if a=249999 then keyen2=0; else keyen2=1; end if; else

11、a:=0; end if; end if;end process; process(clk,start,ok) -密码输入,比较,修改模块 begin if clkevent and clk=1then if start=0 then shuru=1;count=000;keyin=0000; open1=0;beep1=1; end if; if shuru=1 then if count=000 then if keyen1=0 then keyin(0)=0 ; count=count+1; elsif keyen2=0 then keyin(0)=1 ; count=count+1;

12、end if; end if; if count=001 then if keyen1=0 then keyin(1)=0 ; count=count+1; elsif keyen2=0 then keyin(1)=1 ; count=count+1; end if; end if; if count=010 then if keyen1=0 then keyin(2)=0 ; count=count+1; elsif keyen2=0 then keyin(2)=1 ; count=count+1; end if; end if; if count=011 then if keyen1=0

13、then keyin(3)=0 ; count=count+1; shuru=0; elsif keyen2=0 then keyin(3)=1 ; count=count+1; shuru=0; end if; end if; end if; if ok=0and open1=0 then if (keyin=password) and count=100 then open1=1; shuru=1; else beep1=0;open1=0; end if; end if; if ok=0and open1=1then count=000; password=keyin; end if;

14、end if; end process;process(clk,start,ok) - 报警模块 variable g:integer range 0 to 25000; begin if clkevent and clk=1then if g=25000 then g:=0; else g:=g+1; end if; if g=12500 and beep1=0 then beep=0; else beep=1; end if; end if; end process;process(clk)variable n:integer range 0 to 2500; -计数模块beginif c

15、lkevent and clk=1then if n=2500 then n:=0; if temp=11then temp=00; else temp dig=01111111 ; if keyin(0)=0then seg=11000000; else segseg dig=01111111 ; if keyin(1)=0then seg=11000000; else seg dig=10111111 ; if keyin(0)=0then seg=11000000; else segseg dig=01111111 ; if keyin(2)=0then seg=11000000; el

16、se seg dig=10111111 ; if keyin(1)=0then seg=11000000; else seg dig=11011111 ; if keyin(0)=0then seg=11000000; else segseg dig=01111111 ; if keyin(3)=0then seg=11000000; else seg dig=10111111 ; if keyin(2)=0then seg=11000000; else seg dig=11011111 ; if keyin(1)=0then seg=11000000; else seg dig=11101111 ; if keyin(0)=0then seg=11000000; else segnull; end case ; else dig=11111111 ;seg=11111111; end if;end if;end process ; end rt1;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1