ImageVerifierCode 换一换
格式:DOCX , 页数:47 ,大小:1.39MB ,
资源ID:8934930      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8934930.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(太阳能最大功率跟踪系统的研究毕业设计.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

太阳能最大功率跟踪系统的研究毕业设计.docx

1、太阳能最大功率跟踪系统的研究毕业设计太阳能最大功率跟踪系统的研究 摘 要:太阳能作为绿色能源凭其独到的优点受到广泛青睐。在政府的大力支持下,太阳能光伏发电飞速发展,独立光伏发电系统和并网光伏发电系统将成为光伏发电的主流。基于此,本文就光伏发电系统所用的最大功率跟踪(MPPT)电路进行了理论分析,采用模块化的电路设计方式构造了基于集散式控制体系的最大功率跟踪电路。将基于 FPGADSP 构架的实时控制系统概念引入到系统中来,设计了具有上位机通讯接口及数码显示功能的多路光伏阵列最大功率跟踪电路。文中就DC/DC 变换器电路,电流、电压采样电路,FPGA 控制的多路数模转换电路,通信电路以及信号处理

2、电路进行了设计,对最大功率跟踪算法进行了比较并提出了相应的软件流程。用硬件描述语言对 A/D 转换控制和数据读取以及缓存写时序进行了设计并进行了软件仿真,提出了显示控制模块的框图。 关键词:光伏发电; MPPT; DC/DC 变换器; 电流采样; 电压采样;The Largest Power Tracing System of Solar Energy Research Abstract:Solar energy is accepted commonly as a green energy because of itsunique advantages. In the strong suppo

3、rt of the government, ThePhotovoltaic (PV) generation system gain a rapid development, Thestand-alone and grid-connected PV generation systems will be the trendamong PV applications. This paper analyses the Maximum Power PointTracking (MPPT) circuit used on the grid-connected PV generationsystems in

4、 theory, design a MPPT circuit based on distribution of the control theory in the blocking methods. Design a multiplexed PV array MPPT circuit that has digital communications interface to the PC and LED display module by introducing the real-time control system theory based on FPGA+DSP framework to

5、the system. The DC/DC converter circuits, currents、 voltage sampling circuit, A/D conversion circuits controlled by FPGA, communication circuits and signal processing circuit are described in the paper, Compare the methods used in theMPPT system and made the corresponding software processes. Design

6、the A/D conversion control and data retrieval module by using the hardware description language, the diagram shows the buffer write time and display control module. Key words:PV generation; MPPT; DC/DC converter; currents sampling ; voltage sampling;1 前言1.1 太阳能光伏并网发电的意义1.1.1 能源现状能源是人类社会生存和发展的动力源泉。从原

7、始社会的钻木取火到近现代的化石能源以及核能、地热能潮汐能、风能、太阳能等各种新能源的应用无不闪现着人类的智慧之光。然而,随着人类对能源需求的日益增加,化石能源的储量正日趋枯竭。石油危机以来,常规能源频频告急。在中国,这一情况也不容乐观,据官方统计,按目前的消耗速度,中国的现有能源储量至多可以使用 50 年。根据专家预测,到 2020 年,中国石油消费量将突破 4 亿吨,其中一半以上将依赖进口。化石能源资源的有限性和环境保护压力的增加,使世界上许多国家加强了对绿色能源和可再生能源技术发展的大力支持,太阳能作为绿色能源,具有无污染、无噪音,取之不尽,用之不竭等特点,越来越受到人们的关注。目前,世界

8、光伏产业正以 31.2%的平均年增长率高速发展,是全球增长率最高的产业,己成为当今世界最受关注、增长幅度最快的能源产业之一。1.1.2 发展光伏发电系统的意义我国西北地区土地辽阔,人烟稀少,交通不便,燃料供应紧张且价格极高,常规电网难以全面覆盖,但太阳能资源极为丰富(如图1所示)。从技术角度分析,当输出电功率与送电距离之比小于 100 瓦/公里时,用太阳电池电源供电要比常规电源供电经济得多,为此,我国在 2002-2003 年度推出了西部光伏照明工程,在西北地区大力发展光伏发电系统以提高西部地区人民的生活水平,这对于贯彻西部大开发战略具有重要的政治意义和经济意义。1.2 最大功率跟踪(MPPT

9、)的意义1.2.1 光伏发电系统的结构及工作原理图 2 为目前光伏发电系统典型示意图。该图包含了光伏发电系统的两大 类 : 独立光伏 发 电 系 统和 并 网 光,其相应的组成结构分别见图 2 和图 3所示。图 1 中国的太阳能资源Figure 1 Chinas solar energy resources图 2光伏发电系统典型示意图Figure 2Typical schematic diagram of photovoltaic power generation system图3 为独立光伏发电系统的组成结构,系统由光伏阵列、充电控制器(CHARGER),蓄电池组(BAT)、正弦波逆变器(D

10、C/AC)和系统监控部分等组成,其工作原理是:光伏阵列首先将接收来的太阳辐射能量直接转换成电能,经过充电控制器后直接供直流负载使用,多余的电能以化学能的形式储存在蓄电池中,储存在蓄电池中的能直接供直流负载使用或经过全桥逆变器后变成 SPWM 波,然后再经过滤波和变压器升压后变成交流 220V, 50Hz 的正弦电压供给交流负载使用。图 4 为并网光伏发电系统的组成结构,系统由光伏阵列、功率调整电路、正弦波逆变器(DC/AC)和系统监控部分等组成,其工作原理是:光伏阵列将接收来的太阳辐射图 3 独立光伏发电系统的组成结构Figure3 Independent of the structure o

11、f photovoltaic power generation system能量经过高频直流变换后变成高压直流电,然后经过逆变器逆变后向电网输出与电网电压同频同相的正弦交流电流。图 4 并网光伏发电系统的组成结构Figure 4Grid-connected photovoltaic power generation system composition由上述两种结构可以看出,系统的关键部分在于最大功率跟踪部分和逆变器控制部分,是电力电子技术在光伏系统中应用的直接体现。在光电池效率一定的条件下整个系统的工作性能完全取决于它们。所以本课题讨论的是一种全新的MPPT 控制模式,采用了模块化的设计,分

12、散采集、集中控制,增加了系统的灵活性和协调性,充分利用了资源。1.2.2 太阳能电池的输出特性及最大功率跟踪(MPPT)的意义光伏发电存在一个很大的问题是光伏电池的输出特性受外界环境影响大,温度和光照辐射强度的变化都可以导致输出特性发生较大的变化;另外,光伏电池转换效率低且价格昂贵,初期投入较大。因此,充分利用光伏电池所产生的能量是光伏发电系统的基木要求。图 5给出了光伏电池的等效电路,图 6 为光伏电池在不同温度、辐射强度下的 I,V 特性,图 7 为光伏电池在不同温度、辐射强度下的 P,V 特性。光伏电池的输出特性方程为: (1)式中 (2) (3)I,V光伏电池的出处电流和输出电压 电池

13、的串联电阻标准测试条件下电池的短路电流 电池的并联电阻 暗饱和电流 T光伏电池的表面温度 A,B常数k波尔兹曼常数 短路电流的温度系数q单位电荷 辐射强度 硅的禁带宽度光电流 参考温度 下的暗饱和电流 图 5光伏电池的等效电路Figure 5 Photovoltaic cell equivalent circuit 图 6 光伏电池 I,V 特性 图 7光伏电池 P,V 特性 Figure 6 I, V characteristics of photovoltaic cells Figure 7 Photovoltaic cells P, V characteristics从图 6、图 7 可

14、见,光伏电池的温度主要影响开路电压,辐射强度主要影响短路电流。另外,在一定的温度和辐射条件下,光伏电池具有唯一的最大功率输出点。由于实际使用中不能保证负载总是工作在最大功率点上,因此需要在负载和光伏电池之间加入 MPPT 装置,以保证光伏电池始终输出最大功率。2 总体方案的设计图8为最大功率点跟踪装置系统框图。太阳能电池组经Boost(直流-直流升压变压器)电路对蓄电池组充电。系统由A/D芯片采样蓄电池的电压和电流,再通过最大功率点跟踪控制器寻找出太阳能电池最大功率点,给出控制信号,经PWM(脉宽调制式)驱动电路调节Boost变换器的占空比D ,从而改变Boost变换器的(即太阳能电池的输出电

15、压),使其与太阳能电池组最大功率点所对应的电压相匹配,从而使太阳能电池组始终输出最大功率,充分利用太阳能。系统中最大功率点跟踪控制器由FPGA系统实现。系统中最大功率跟踪过程实际是一个太阳能电池功率自寻优的过程。对于以蓄电池作为负载的情况,考虑到充电过程中蓄电池两端的电压变化与温度和辐射强度引起的变化过程相比是一个渐变过程,所以只需测量蓄电池组电流的变化,使其始终保持在当前时刻下的最大值,即可确定太阳能电池组工作在最大功率点。系统中蓄电池组的经采样后,进行A/D转换,在最大功率点跟踪控制器中将当前时刻的采样电流与上一刻的采样电流相比较,确定功率变化的方向,再结合上一刻占空比D的变化,直接确定当

16、前时刻D应增大还是减小。3 太阳能电池最大功率跟踪系统电路的设计3.1 最大功率跟踪(MPPT)电路的组成3.1.1 组成原理图8 最大功率点跟踪装置图Figure 8 Maximum power point tracking device shall map太阳能电池(Solar cell )有时也常被称作太阳电池,通常由半导体材料制成,其作用是把太阳能直接转换为直流形式的电能,是光伏阵列中光电转换的最小单元,由于单个太阳电池的功率极小。因此一般不单独作为电源使用。实际应用中是将许多单个太阳电池经过串、并联组合井进行封装后构成太阳电池组件使用。通常光伏电站都由很多这样的光伏阵列组成,而我们必

17、须对每个光伏阵列都进行数据处理和 MPPT 控制,为了使系统更灵活这里采用模块化的设计方法,如果我们为每个采集模块都配一个数据处理模块,增加了系统通讯监控难度,浪费了硬件资源,所以采用可编程器件来增加接口将采集的数据预处理后集中送往 DSP 器件来处理,并完成与上位机的通讯。整个系统分为:前端功率调整模块、数据处理模块以及通信模块。3.1.2 组成框图如图 9 所示为前端功率调整模块包括:主回路 DC/DC 变换器,数据采集电路,PWM 驱动电路以及电平转换匹配电路。主回路 DC/DC 变换器主要是 MPPT 的执行电路单元,它负责调整光伏阵列的输出电压使其工作在最大功率点(MaximumPo

18、wer Point,简记为 MPP)。数据采集电路负责采集电压信号和电流信号,经由电平转换匹配电路送与数据处理模块进行数据处理。图 10 所示的为系统数据集中处理单元,包括由可编程器件组成的数据接口,高速缓冲器件以及 DSP 器件处理前端采集来的实时数据,并完成与上位机的通讯,便于监控。DSPFPGA 结构最大的特点是结构灵活,有较强的通用性,适于模块化设计,从而能够提高算法效率;同时其开发周期较短,系统易于维护和扩展,适合于实时信图9 前端功率调整模块框图Figure 9 Front-end power to adjust the block diagram图 10 数据处理模块框图Figu

19、re 10 Data processing block diagram号处理。在实时信号处理系统中,低层的信号预处理算法处理的数据量大,对处理速度的要求高,但运算结构相对比较简单,适于用 FPGA进行硬件实现,这样能同时兼顾速度及灵活性。高层处理算法的特点是所处理的数据量较低层算法少,但算法的控制结构复杂,适于用运算速度高、寻址方式灵活、通信机制强大的 DSP 芯片来实现。同时,由于 FPGA 具有很强大的可配置性,I/O 资源相当丰富低功耗的特点,节省了硬件资源,增加了系统的抗干扰能力。3.2 功率调整模块电路3.2.1 DC/DC变换器电路分析一般而言传统变换器有三种拓扑电路:Buck,B

20、oost,Buck-Boost。现分别针对这三种拓扑进行分析比较。 (1)Buck 拓扑电路。如图 11所示,BUCK 变换器是一种降压斩波器,功率传输由开关管 T 的通断进行控制。若占空比为 D,输出电压,输入电压为,则= D ,可以看出,输出电压总是低于太阳能电池阵列输入电压。因此 Buck 电路一般应用在高压输入,低压输出的场合。图 11 Buck 拓扑Figure 11 Buck topology (2)Boost 拓扑电路。图 12 所示的是 Boost 变换器电路拓扑结构。Boost 变换器是一种升压斩波器,所用电力电子器件及元件和 Buck 型拓扑基本相同,仅电路拓扑结构不同。其

21、功率传输也由开关管 T 的通断来控制。设占空比位 D,输出电压 Uo ,输入电压为 Uin ,则有:=/(1-D) (4)所以 Boost 变换器是输出电压高于太阳能电池阵列输入电压的单管不隔离直流变换器,一般应用在低压输入,高压输出的场合。图 12 Boost 拓扑Figure 12 Boost topology (3)Buck-Boost 拓扑电路。设占空比为 D,输出电压为 Uo,输入电压为Uin,,因此Buck-Boost变换器是一种输出电压可以高于或低于太阳能电池阵列的输入电压。其主电路与Buck,Boost 变换器的元器件基本相同,但输出电压的极性与输入电压相反。由于纹波电流的影响

22、,相对于 Buck,Boost 电路次拓扑的工作效率低。与 Buck 电路不同的是:需要额外的二极管 D1防止功率倒流回太阳能电池阵列。如图 13 所示。由于 Buck 电路的输人端工作在断续状态下,若不加入储能电容,则光伏电池阵列的工作时断时续,不能处于最佳工作状态。加入了储能电容后,Buck 电路功率开关断开时光伏电池阵列对储能电容充电,使光伏电池阵列始终处于发电状态,此时调节 Buck 电路占空比才能有效跟踪最大功率点,因此储能电容对于利用 Buck 电路实现 MPPT 功能是必不可少的。然而在大功率情况下,储能电容始终处于大电流充放电状态,对其可靠工作不利,同时由于储能电容通常为电解电

23、容,使 Buck 电路无法工作在更高的频率下,增大了 MPPT 装置的体积,使整个系统变得笨重。相比之下,Boost 变换器可以始终工作在输入电流连续的状态下,只要输入电感足够大,则电感上的纹波电流小到接近平滑的直流电流,因此只需加入容量较小的无感电容甚至不加电容,避免了加电容带来的种种弊端。同时,Boost 电路非常简单,且由于功率开关管一端接地,其驱动电路设计更为方便。Boost 电路的不足之处是其输入端电压较低,在同样功率下,输入电流较大,因而会带来较大的线路损耗,但 Boost 电路具有独特的优点,仍然是一种吸引人的方案。图13 Buck-Boost 拓扑Figure 13 Buck-

24、Boost topology3.2.2 用于MPPT的BoostDC/DC变换器的电路设计 (1)电路原理。图 12为 Boost 变换器的基本拓扑示意假设电路中所有元件均为理想元件,电路的输入到输出的过程无功率损耗则有 Uo =Uin/(1 D)。本课题设计的 MPPT系统由于是应用与并网光伏系统的,后级电路是逆变器电路,对本级的输出电压有最低电压的要求,如果输出电压 Uo 过低,则无法达到逆变后的并网要求,因此存在一个 D 的下限值 Dmin ,在 DDmin 的情况下,经变换器后的输出才能满足逆变器逆变后的并网要求。该值可以由以下的方法求出。设输入端电压为光伏阵列的开路电压Voc ,则由

25、公式 (4)可以得出: (5)由上式可以得出: (6)当 D 在 Dmin 100的区间内变化时,Boost 电路输入输出端的电压应该满足公式 (4),在Vo 不变的情况下,改变 D 将改变与 Boost 变换器输入端相连的光伏阵列两端的电压。由此可得: (7)因此,Boost 电路的输入端电压Vin 可以在 0 -Voc 之间变化。只要光伏阵列具有合适的开路电压,通过改变变换器的 D ,就能够找到与光伏电池最大功率点对应的Vin 值,此时光伏电池输出功率最大。另外 Boost 变换器如果占空比过大而输出滤波电容较小,会产生很大脉动的电流,对后级电路(逆变电路)不利,我们可以参考最大负载电流条

26、件下的输出滤波电容 Cf ,由下式给出: (8)式中滤波电容容量 可能出现的最大负载电流开关频率 开关导通期间上的电压降(2)电路设计。DC/DC 变换器中很重要的部分就是功率器件及其驱动的选择。功率 MOSFET是一种多子导电的单极型电压控制器件,具有开关速度快、高频特性好、热稳定性优良、驱动电路简单、驱动功率小、安全工作区宽、无二次击穿问题等显著优点。目前,功率 MOSFET 的指标达到耐压 600V、电流 70A、工作频率 100kHz 的水平。目前市面上光伏阵列最大开路电压(Max. opencircuit voltage)一般在 450V左右,太阳能电池阵列功率一般为 4000W 左

27、右,所以我们要保证 MPPT 范围(MPPTrange)为 220V-450V 之间 ,则 MOSFET 理论上所能承受的最大电流为 20A 左右。所以我选择了一款美国 IR 公司生产的高速电源开关型 MOSFET,IRFP460,它是一个开关模式电源供应器,其最大能承受的 VDSS 为 500V, I d max 为 20A,最高二极管恢复速率dV/dt为3.8V/ns。因为主电路均为高电压、大电流情况,而控制单元为弱电电路,图14 DC/DC变换器电路Figure 14 DC / DC converter circuit所以它们之间必须采取光电隔离措施,以提高系统抗干扰措施,可采用带光电隔

28、离的 MOSFET 驱动芯片 TLP250。TLP250 由日本东芝公司生产,其内置光耦的隔离电压可达 2500V,上升和下降时间均小于 0.5s,输出电流最大驱动能力达 1.5A,可直接驱动 50A/1200V 以内的功率 MOSFET 和 IGBT。选用TLP250 光耦既保证了功率驱动电路与 PWM 脉宽调制电路的可靠隔离,又具备了直接驱动 MOSFET 的能力,使驱动电路特别简单。单元电路图如图 14所示:包括输入滤波电容,电流采样电阻,功率开关器件及其驱动,电感。3.2.3 用于MPPT的数据采集电路的设计包括两种信号的数据采集:电压信号,电流信号。 (1)电压信号采集电路的设计。由

29、于干线电压很高,采用分压隔离测量的方法来进行测量。为了实现测量电路与主电路的隔离,光伏阵列的直流母线电压检测电路采用了线性度为0.01%的光耦 LOC110,一方面实现了检测电路和直流电压的电气隔离;另一方面光耦 LOC110 的线性工作范围较宽,线性度高,并且集成在一个封装内,受外界环境的干扰较小,因此,两个光耦特性基本相同,具有很好的一致性,能够满足输入电压和输出电压在较大范围内均能保持线性关系。所用运算放大器采用宽输入量程的放大器 LM358 以及高输入阻抗和压摆的采用结型场效应管技术(JFET)输入的运算放大器 LM353。首先利用电阻网络将待测电压信号分压到光耦的线性范围内,同时充分

30、利用光耦双输出的特点实现光耦后级输出的反馈,即 I1 通过R1转换成电压信号。由于光耦输出为电流,后级采用 LM353 来实现 I/V 转换,经滤波后送与 A/D 转换器。具体单元电路如下:定义流过发光二极管的电流为,输入电压为Vin ,输出电压为,为伺服增益,为前向增益, 为传输增益,则稳定时有: (9) (10)有公式10得: (11)则 (12)为保证光耦工作在其线性范围内,必须根据实际需要调整图 15 中 R3,R4,R5 的阻值。 (2)电流采样电路的设计。电流采样电路电流传感器进行测量,LA25-NP 是一款 1000:1 的霍尔电流传感器,其采用闭环补偿的方式来提高测量精度,前级

31、(高压侧)和次级(低压侧)具有良好的电气隔离,输入与输出具有很好的线性关系。由于霍尔元件输出是若电流信号,因此必须将该电流信号转换成电压信号并且调理到 A/D 转换器的输入范围内,利用高精电阻 RM 将霍尔元件输出的弱电流信号转换成电压信号然后利用 LM353 进行放大处理,滤波后送与 A/D 转换器进行模数转换。电路图如图 16。图15 电压采样电路Figure 15 Voltage sampling circuit根据选定的 A/D 转换器的输入量程可以调整 R4 的阻值来调节后级放大器的增益,以满足采样需求。设主网络电流为,霍尔传感器输出的电流为,经电阻转换后的电压为,输出至 A/D 电压为,则有: (13) (14) (15) (3)A/D 转换器的选择及接口电路的设计。数据处理单元需要外围电路简单、体积小,同时允许电源电压变化范围宽的芯片。美信公司生产的 MAX124

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1