ImageVerifierCode 换一换
格式:DOCX , 页数:22 ,大小:1.01MB ,
资源ID:8853658      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8853658.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(FPGA实验指导书1.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

FPGA实验指导书1.docx

1、FPGA实验指导书1实验一 组合逻辑3-8译码器的设计 1实验二 基于FPGA的数字钟的设计 11实验三 基于NIOS的交通灯实验 14实验四 静态图像显示 18实验一 组合逻辑3-8译码器的设计一、实验目的:1、通过38译码器的设计,让学生掌握组合逻辑电路的设计方法。2、掌握组合逻辑电路的静态测试方法。3、初步了解可编程器件设计的全过程。二、实验步骤:1、打开QuartusII软件。2、选择路径。选择File/New Project Wizard,指定工作目录,指定工程和顶层设计实体称;注意:工作目录名不能有中文。3、添加设计文件。将设计文件加入工程中。单击“Next”,如果有已经建立好的V

2、HDL或者原理图等文件可以在File name中选择路径然后添加,或者选择Add All添加所有可以添加的设计文件(.VHDL ,.Verilog原理图等)。如果没有直接点击“Next”,等建立好工程后再添加也可,这里我们暂不添加。4、选择FPGA器件。Family选择Cyclone II,Available device选EP2C35F484C8,Packge选择Any,Pin Count 选择484,Speed grade选择Any;点击“Next”。5、选择外部综合器、仿真器和时序分析器。Quartus II支持外部工具,可通过选中来指定工具的路径。这里我们不做选择,默认使用Quartu

3、s II自带的工具。6、结束设置。单击“Next”,弹出“工程设置统计”窗口,上面列出了工程的相关设置情况。最后单击“Finish”,结束工程设置。7、建立原理图文件。如果在建立工程时没有添加设计文件,这时可以新建文件再添加。也可通过选择Project/ Add/Remove Files In Project来添加外部文件。8、添加文件到工程中。点击“OK”,并选择File/Save As,选择和工程相同的文件名。点击“保存”,文件就被添加进工程当中。9、原理图建立完毕。这时,可以开始在原理图上进行设计了。提示:用户可以在打开Quartus II后直接建立原理图或者VHDL文件,选择Save

4、As,系统会提示是否要保存为工程文件,选择建立工程文件,也可进入工程文件建立流程。 10、添加器件。按照3-8译码器的电路图添加器件并连线。可以看到在下图中,左下角处已添加4位功能选择位,设置状态为0001,即16位拨码开关接到16位数据总线上。11、预编译。选择Processing/Start/Start Analysis&Synthesis,进行综合。12、添加管脚信息。当综合完成后,网表信息才会生成。选择Assignments/Assignment Editor在Edit中选择Node Fider,在Node Fider中选择List显示所有节点信息,然后全部选中。13、为每个节点分配引

5、脚。EP1C12器件EP2C35器件14、全局编译。15、下载。下载可以选择JTAG方式和AS方式(JTAG下载方式把文件直接下载到FPGA里面,AS下载方式把文件下载到配置芯片里面,因此可以掉电存储)。选择Tool/Programmer,选择JTAG下载方式,选择Add File,添加.sof文件(AS下载选择.pof文件)并选中Program/Configure,点击“Start”后开始下载。第一次使用下载时,首先点击“Hardware Setup.”,打开Hardware Setup对话框,然后点击Add Hardware,选择ByteBlasterII后单击”Select Hardwa

6、re”,选择下载形式为ByteBlasterII。三、功能仿真验证 在下载程序之前可以利用Quartus II的强大功能,对所设计的工程进行功能仿真验证或时序仿真验证。从菜单File-New中选择创建Vector Waveform File, 如下图所示。在新的波形文件中选入需要验证的引脚,通过在左边窗栏理点击鼠标右键,选Insert Node or Bus . , 在打开的对话框中点击List, 选择所要观察的信号引脚,设置引脚的信号值, 如下图所示。点击保存Save. 在Settings对话框中,选中Simulator Settings选择页,设置Function类型仿真,并将新创建的波形

7、文件当作仿真输入,如下图所示: 设置完毕之后,点击Processing -Generate Functional Simulator NetList, 生产网表文件之后,点击Start Simulator,进行功能仿真,然后验证逻辑功能是否正确。四、实验接线及说明A、B、C、G1、G2AN、G2BN分别对应实验箱底板SW1SW6Y0NY7N分别对应实验箱底板IO9IO16实验接线:用导线将IO9IO16与8位LED L1L8相连,LED为高电平点亮控制拨码开关SW1SW6,观察L1L8显示状态是否与预期输出结果一置。实验二 基于FPGA的数字钟的设计一、 设计要求1 具有时,分,秒,计数显示功

8、能,以24小时循环计时。2 具有清零,调节小时、分钟功能。3 具有整点报时功能,整点报时的同时LED灯花样显示。二 、实验目的 1掌握多位计数器相连的设计方法。 2掌握十进制,六进制,二十四进制计数器的设计方法。 3继续巩固多位共阴级扫描显示数码管的驱动,及编码。 4掌握扬声器的驱动。 5LED灯的花样显示。 6掌握EPLD技术的层次化设计方法。三、实验原理 1时钟计数: 秒60进制BCD码计数; 分60进制BCDD码计数; 时24进制BCDD码计数;整个计数器有清零,调分,调时功能,在接近整数时间能提供报时信号。2具有驱动8位八段共阴扫描数码管的片选驱动信号输出和八段字形译码输出,编码和扫描

9、部分可参照前面实验。 3扬声器在整点时有报时驱动信号产生。4. LED灯在整点时有花样显示信号产生。四 、实验内容及步骤1.根据电路持点,可在教师指导下用层次设计概念,将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,让几个学生分做和调试其中之一,然后再将各模块合起来联试,以培养学生之间的合作精神,同时加深层次化设计概念。 2.了解软件的元件管理深层含义,以及模块元件之间的连接概念。了解如何融合不同目录下的统一设计。模块说明: 各种进制的计数及时钟控制模块(10进制、6进制、24进制); 扫描分时显示,译码模块; 彩灯,扬声器编码模块; 各模块都是由VHDL语言编写。图26-1

10、数字钟各模块连接示意图五、 实验连线 输入接口:1 代表清零,调时,调分信号RESET,SETHOUR,SETMIN的管脚分别已经连接按键开关。2 代表计数时钟信号CLK和扫描时钟信号CKDSP的管脚分别已经同1HZ时钟源和32HZ(或更高)时钟源相连。3 Reset键为低电平复位,已经接上。 输出接口:1 代表扫描显示的驱动信号管脚SCAN2,SCAN1,SCAN0已经接到实验箱上的SCAN0SCAN2,AG接八位数码管显示模块的AG。2 代表花样LED灯显示的信号管脚LAMP0LAMP2已经同3个LED灯相连。代表到时LED灯闪烁提示的ENHOUR接LED灯。 SETHOUR、SETMIN

11、分别对应CPU板上的PB0、PB1(有些CPU板对应的标识是SW1、SW2) RESET对应CPU板上的RESET CLKDSP对应CPU板上的50MHz固定晶振输入。LAMP对应IO9-IO11。CLK,对应IO3。 功能选择位M3.0状态为0010,左端8个数码管,低8位为7位段加小数点选取位,高8位为8个数码管com端选取,即如果要选取数码管0,则发送总线值为:1111 1110 1111 1111,如要选取数码管1,则发送总线值为:1111 1101 1111 1111,此时所选数码管7段和DP位将全部亮。 实验接线:用导线连接IO3与ADJ_CLK,调整SW17-SW20,使输出频率

12、为1Hz;IO9-IO11接到L1-L3上; IO5接到蜂鸣器的BUZZER控制端口。 按下PB0、PB1(有些CPU板对应的标识是SW1、SW2)可以调整时钟,分钟。实验三 基于NIOS的交通灯实验一、实验目的1、学习NIOS II应用程序控制自定义Avalon总线外设。2、学习用Avalon总线接口的设备控制交通灯。二、实验原理1、12个交通灯和蜂鸣器周围的4个LED灯的输出值由实验箱底板上下边的CPLD芯片EPM1270来控制,LED为高电平点亮,在做NIOS II实验时,实验箱底板上的两片CPLD看作是NIOS II的一个总线型外设,16位LED的输出值为这个总线型外设的一个寄存器锁存

13、输出值。2、NIOS II软核CPU通过Avalon三态总线桥,允许多个总线型设备同时共享总线资源,从而把多个总线型设备,挂接在同一组总线上。每一个总线型设备拥有其唯一的片选信号,数据、地址,读写控制信号等则可以同时与其它总线型设备复用。3、本实验中实验箱底板上两片CPLD即是一个挂接在三态总线上的一个外设。同时挂接在这条三态总线上的设备还有CPU上的SRAM、Flash、RTL8019网络接口芯片。两片CPLD共用同一条片选信号线,读/写信号线各一条,16位数据线用于数据读写, 4位地址线可访问16个寄存器。对LED操作即为对寄存8(二进制地址为1000)写操作。如执行NIOS II指令:w

14、rite_bus16(cs7+8),0x0001);则为向以cs7为基地址,以8为偏移地址的寄存器写0x0001,这条指令的功能是使LED1点亮,除LED1以外的其它LED均为不点亮状态。三、实验步骤:1、将样例工程SOPC_BASE.rar压缩包解压到磁盘根目录下,如:E:SOPC_BASE(用户可以参考本样例工程创建自己的工程,或者在此样例工程的基础上进行必要的修改,添加用户所需模块或删除不必要的模块,SOPC Builder模块资源如下图,具体创建步骤,请参考NIOS II Step by Step及其它相关资料。)SOPC Builder模块资源2、打开Quartus II工程:在文件

15、夹E: SOPC_BASE中找到E_Play.qpf,双击打开工程文件E_Play.qpf。3、打开SOPC Builder:在Quartus II环境中选择菜单ToolsSOPC Builder,打开SOPC Builder向导,打开Techshine_EP1C12.ptf。点Generate编译SOPC Builder。(如果使用样例工程,可以跳过步骤3。本工程已经在Quartus II 5.1 sp1环境下编译通过,如果更换不同版本或更换license后,请重新编译)。4、编译Quartus II工程:在Quartus II环境主菜单下选择PressingStart Compilatio

16、n。编译完成后生成相关配置文件(.sof和.pof文件)。(如果使用样例工程,可以跳过步骤4。本工程已经在Quartus II 5.1 sp1环境下编译通过,如果更换不同版本或更换license后,请重新编译)。5、打开NIOS II IDE环境:开始程序AlteraNIOS II 5.1NIOS II IDE。在工作路径中输入:E: SOPC_BASEsoftwareworkspace。6、创建NIOS II应用工程:在NIOS II IDE环境主菜单下选择File New Project。在弹出的新建工程向导中,选择第一项C/C+ Application,点Next,下一步操作。在弹出的C

17、/C+ Application工程向导中,在窗口左下的工程模板中选择Blank Project,命名工程为“led16”,目标硬件选择中浏览找到,Quartus II工程中的Techshine_EP1C12.ptf文件,点Next,下一步操作。在弹出的系统库设置中,按默认选第一项Create a new system library named,新建系统库.点Finish,完成系统库创建。复制“traffic.c”原程序,选择NIOS II工程traffic,右键弹出菜单中选择Paste添加文件traffic.c到工程。7、编译NIOS II应用工程:在工程管理窗口中选中traffic应用工程

18、,鼠标右键点击在弹出菜单中选择Builder Project,NIOS II IDE将自动先编译系统库traffic _syslib,完成后自动编译应用工程traffic。8、下载配置文件。在NIOS II IDE主菜单中选择ToolsQuartus II Programmer,打开Quartus II Programmer窗口。(或通过开始菜单打开Quartus II Programmer),默认为JTAG下载方式,点”Add File”按钮,流览添加Quartus II工程目录下的 “E_Play.sof”文件,在相应的“Programmer/Configure”项上打勾,点“Start”

19、下载程序。在下载配置文件前,先将ByteBlaster II下载线插到JTAG方式下载口,并给目标板接通电源。9、运行NIOS II应用工程:在NIOS II IDE工程管理窗口,选中要运行的工程traffic,鼠标右键弹出菜单中选择Run AsNIOS II Hardware。运行成功,在NIOS IDE控制台显示“programme start!”,同时可以看到交通灯的效果。实验四 静态图像显示一、实验目的1、 熟悉VGA IP核的寄存器操作二、实验设备 计算机 ,NIOS_II&QuartusII 8.1,EL-SOPC4000实验箱,E-PLAY-2C35或 E-PLAY-3C25-B

20、或E-PLAY-3C80,其他CPU板无法完成此实验。三、实验步骤1、连接好电脑和仿真器、CPU板和仿真器;2、用VGA连接线连接8寸液晶屏和CPU板上的VGA接口;3、给实验设备上电,打开QuartusII 8.1,下载TechshinVGA.sof;4、打开Nios II IDE,导入TechshinVGA_E2C35 software VGA_test和VGA_test_syslib。导入过程如下:首先在nios2 projects栏空白处右键单击,然后选择Import,显示如下:选择next,进入如下界面:浏览找到VGA_test,点击确定,完成后点击finish点击yes,完成VGA

21、_test的导入,用同样的方法导入VGA_test_syslib。5、由于要显示的图片是预先存入flash中的,所以先要选择进入烧写界面,双击Flash programmer,选择program a file into flash memory,浏览找到要烧写的图片文件,图像格式为bmp,大小为900k,偏移地址offset,对于EP3C25设置为0x00100000,对于EP2C35设置为0x01000000,对于EP3C80设置为0x00100000,EP4CE22设置为0x00100000,对于EP2C35 ,板上是一块flash芯片,容量为32M,所以第二幅图片烧写时设置偏移地址off

22、set为0x01100000,第三幅图片烧写时设置偏移地址offset为0x01200000,其他以此类推,其他CPU板上是2片2M,共4M的flash,所以烧写第二,第三幅图片按如下设置。完成后点Program Flash开始烧写, 在NIOS2 IDE的控制台显示如上图所示时,说明flash烧写成功。用同样的方法烧入第二幅图像设置如下:第三幅图像的设置如下: 1、 运行VGA_test。程序的核心代码如下:程序中,图像时存放在flash偏移地址为0x01000000,程序中之所以写成的0x01000036,0x36即54表示BMP图像的头信息。 运行程序后,可看到液晶屏上显示所烧入的图片。也可烧入多幅图片,循环显示,做成电子相册。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1