ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:341.61KB ,
资源ID:8833404      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8833404.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(顶层为原理图的能显示16进制减法计数器设计.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

顶层为原理图的能显示16进制减法计数器设计.docx

1、顶层为原理图的能显示16进制减法计数器设计顶层为原理图的能显示16进制减法计数器设计【实验内容】1、用Verilog设计一个二选一电路,并用ModelSim Simulator 仿真验证。要求该二选一电路能实现16进制数的两位数据串行输出(或称动态输出)。(在图61中为KZ模块,其中Q(3:0)为数据输出信号,D(2:0)为输出位选通信号)。2、用Verilog设计一个16进制减法器,并用ModelSim Simulator 仿真验证。 3、用Verilog设计一个共阴极7段译码电路,并用ModelSim Simulator 仿真验证。4、设计一个能显示15到0减法计数器顶层为原理图电路。用M

2、odelSim Simulator 仿真验证。5、设计一个能将一个输入总线数值为0000到1111的分成输出为个、十位的二个总线信号(个位为0000到1001,十位为0000到0001)。6、学习根据硬件实验装置外围电路与可编程器件引脚连接关系的附录表1(或所选硬件实验装置的芯片与外围电路连接附录表)定义芯片的I/O管脚,并将所设计16进制减法计数器下载到可编程器件芯片中去,通过硬件实验装置验证设计结果。7、掌握数据并行输入并行输出,串行输入并行输出及并行输入串行输出的设计电路。本设计中控制电路为数据并行输入串行输出。【实验步骤】新建一个项目名TEST_6,顶层为原理图,原理图名为XSQ,可编

3、程芯片选SPARTAN3系列中XC3S50-TQ144(或根据所选硬件装置上的芯片系列型号)。1)分别用Verilog设计下层各模块:(1)二选一扫描电路(KZ);(2)共阴极7段译码电路(decode);(3)16进制减法计数器(jsq_16);(4)将十六进制分成十进制的高低位(个、十位)(gdf);(5)根据所给时钟频率设计记数分频器和扫描分频器(硬件验证实验装置的输入时钟频率为10MHZ,也可查附录表1获得)。其中16进制减法计数器(jsq_16)可通过Project Navigator界面中菜单ProjectAdd Source从实验四中将练习时已生成的16进制减法计数器Verilo

4、g加入(调入)。3)在右面界面的XSQ原理图图板中完成模块的各设计模块的连接。如图61。保存后各设计模块由原来的与XSQ原理图同级文件成为XSQ原理图下级文件。见Sources子窗口。图61图62图634)给输入输出端口定义引脚序号如果不给芯片的定义I/O引脚序号,则软件编译时会自动生成设计的I/O引脚表,设计者根据I/O引脚表通过连接线将芯片端口与外围器件连接。在给定的硬件验证实验装置LP2900上芯片与外围器件的接口引脚已固定,只能自己收到定义I/O引脚。在Project Navigator界面中选菜单ProjectNew Source,在file栏中输入文件名,左栏选Implementa

5、tion Constraints File,然后点击“下一步”,在Source File中选设计顶层文件名,点击“下一步”此时在sources in Project中生成后缀名为.ucf文件,选中.ucf文件,在Processes for Source中双击,如果设计无误,则会弹出.ucf文件窗口如图6-5,在图6-6窗口中通过Design Object List I/O pin 表和Package Pin for xc3s50-TQ144或Deveic Architecture for xc3s50-TQ144进行人工定义引脚,为了与硬件外围设备相匹配,要根据硬件设备(例如按键、数码管、LE

6、D发光二极管等)与芯片引脚连接表(见附录表 1 )进行定义可编程器件引脚。如要使在LP2900实验装置中en使能端通过按键SW1控制,由附录表查得按键SW1与芯片引脚P20相连,则应定义en引脚为P20。全部定义结束后,保存一下。对于引脚定义不要选用专用引脚(例VCC、GND等),时钟信号引脚要选用I/O/GCK (Global Clock)全局时钟信号。在Package Pin Legend窗口说明了Package Pin for xc3s50-TQ144芯片引脚中引脚符号所代表的含义,请仔细阅读。图6-55)硬件验证现要将设计仿真成功,引脚定义完成的能显示16进制减法计数器的设计下载到芯片

7、中。1. 回到Project Navigator 窗口,在Source 子窗口中选中顶层原理图文件名,在Processes 子窗口中双击Generate Programming File下的Configure Device(Impace),软件自动进行综合(Synthesize)、设计实现(Implement)、生成可编程文件。设计实现包括编译Translate、映射Map、布局布线Place & Route,同时生成相应的文本文件。如果能通过综合和设计实现,会弹出如图66对话,2. 选择Configure devices using Boundary-Scan (JTAG),点击“完成”,软

8、件通过并口线与硬件实验装置联接,联接正确,弹出图6-8对话框,运行文件中的项目位流文件(注意要进入所设计的工程项目路径),则弹出图框如图6-9,在图6-9中芯片图下方有芯片型号、位流文件名(例:xsq.bit)。选中芯片按右键选“Programming”,弹出对话图框如图6-10选“OK”。如果设计正确则会将设计文件下载到可编程芯片中。硬件装置根据设计功能正常运行。如果硬件运行不正常,要根据实际情况分析、解决问题。图6-7图6-8图6-9图6-10【实验现象与结果】 程序代码如下:module KZ(clk, en, A2, A1, Q, D);inputclk;input en;input

9、3:0A2,A1;output 3:0 Q;output 2:0 D; regcount_for_clk = 0; reg 3:0 Q; reg 2:0 D; initialcount_for_clk=0; always (posedgeclk) begin count_for_clk=count_for_clk + 1; if(count_for_clk = 1b1) count_for_clk= 1b0; end initial begin Q=4b0000; D=3bz;end always (posedgeclk) begin if (en=1b0) begin Q=4b0000; D

10、=3bz;end else case(count_for_clk) 0: begin Q=A2;D=3b100;end 1: begin Q=A1;D=3b101;end endcase endendmodulemodule decode(A, Q);input 3:0 A;output 6:0 Q;reg 6:0 Q;always (A)begincase(A) 4b0000:Q=7b0111111; 4b0001:Q=7b0000110; 4b0010:Q=7b1011011; 4b0011:Q=7b1001111; 4b0100:Q=7b1100110; 4b0101:Q=7b11011

11、01; 4b0110:Q=7b1111101; 4b0111:Q=7b0000111; 4b1000:Q=7b1111111; 4b1001:Q=7b1101111;default:Q=7b0000000;endcaseendendmodulemodule jsq_16(en, clk, Q);input en;inputclk;output 3:0 Q; reg 3:0 Q; initial Q=4b1111; always (posedgeclk)begin if(en=1b0) Q=4b1111; else if(en=1b1)&(Q=4b0000) Q=4b1111; else Q=Q

12、-1; endendmodulemodulegdf(Q, H, L);input 3:0 Q;output 3:0 H;output 3:0 L; reg 3:0 H,L; always (Q) begin if (Q4b1010) begin H=4b0000; L= Q; end else begin L=Q-10; H=4b0001; end endendmodulemodule fp1(clk, cp);inputclk;outputcp; regcp; reg13:0 cnt; initial begin cnt=0; cp=0; end always (posedgeclk) be

13、gin cnt=0)&(cnt=4999) cp=5000)&(cnt=9999) cp9998) cnt=0; endendmodulemodule fp2(clk, cp);inputclk;outputcp; regcp; reg23:0 cnt; initial begin cnt=0; cp=0; end always (posedgeclk) begin cnt=0)&(cnt=4999999) cp=5000000)&(cnt=9999999) cp9999998) cnt=0; endendmodule 自定义管脚,查附录后可得:七段数码管选用管脚为:代號ABCDEFGdp裝置七段顯示器腳位Pin 55Pin 57Pin 60Pin 65Pin 69Pin 70Pin 73Pin 74En初始化管脚为:代號SW1SW2SW3SW4SW5SW6SW7SW8裝置Push Button腳位Pin 20Pin23Pin 25Pin 27Pin 30Pin 32Pin 35Pin 40Clk管脚为:代號OSCf=10M裝置Clk0腳位Pin 125高低选管脚为:代號DE1DE2DE3裝置74138腳位Pin 76Pin 77Pin 78 实验现象,最后出现PROGRAME SUCCESSFUL即下载成功,如图6-11图6-11 此时,可以在实验板上看到数码管显示的减法器。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1