ImageVerifierCode 换一换
格式:DOCX , 页数:24 ,大小:2.32MB ,
资源ID:8819628      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8819628.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(文献检索与利用报告.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

文献检索与利用报告.docx

1、文献检索与利用报告贵州民族大学学院:计算机与信息工程学院专业:光信息科学与技术 姓名: 张 家 文 学号:200907040045 课程名:文献检索与利用 日期:2012年4月27日要求一:利用馆藏书目查找一 检索式:A书名=激光原理及应用二 检索方法与步骤:1. 进入贵州民族学院图书馆,找到馆藏书目。2. 在馆藏数目中有三种搜索方式:(1)在此选用简单查询(2)经过查询有命中目标数:1 耗时:00.047秒(3)点击查看详细信息 要求二:利用读秀学术搜索检索一 检索式(1): B期刊=单片机应用技术二 检索方法与步骤:1. 登录贵州民族学院图书馆,找到读秀学术搜索,点击进入:2输入“单片机应

2、用技术”,并点击中文搜索;3.经过查询有命中目标数:4755 耗时:0.409秒: 4.选择三个条目阅读:(1)(2)(3)三 检索式(2):C图书=通信原理简明教程四 检索方法与步骤:1. 与本要求中步骤一相同:进入图书馆的读秀学术搜索网页后,单击“图书”,输入“通信原理简明教程”并选择“中文搜索”出现一下界面:2. 找到相关的中文图书 3 种,用时 0.001 秒,选择其中两本查看详细信息: (1) (2) 3. 找到自己需要的图书后,点击阅读。要求三:利用书生之家电子图书搜索一 检索式:D图书=数字电路基础二 检索方法与步骤:1. 进入书生之家电子图书搜索的网页,登录其账号。(如果没有其

3、账号,则注册一个账号。)登录或注册成功后会出现一下界面:2. 在图书分类下方点击“电子,电信与自动化”;出现一下搜索界面:3. 再进一步选择“数字”:共有45条信息。4. 输入“数字电路”,点击立即检索:经检索,有64条信息。 要求四:利用谷歌引擎搜索检索一 检索式:F=数字系统设计教程第二版二 检索方法与步骤:1. 打开谷歌搜索首页。2. 输入“数字系统设计教程第二版”,并点击搜索;出现2080000条结果,用时0.33秒。 3. 选择自己需要的二本书,查看更详细的信息。 (1)Verilog数字系统设计教程(第2版)内容简介本书讲述了自20世纪90年代开始在美国和其他先进的工业化国家逐步推

4、广的利用硬件描述语言(Verilog HDL)建模、仿真和综合的设计复杂数字逻辑电路与系统的方法和技术。书中内容从算法和计算的基本概念出发,讲述如何由硬线逻辑电路来实现复杂数字逻辑系统的方法。全书共分4部分。第一部分共8章,即Verilog数字设计基础篇,可作为本科生的入门教材。第二部分共10章,即设计和验证篇,可作为本科高年级学生或研究生学习数字系统设计的参考书。第三部分为实践篇,共提供12个上机练习和实验范例。第四部分是语法篇,即Verilog 硬件描述语言参考手册;IEEE Verilog13642001标准简介,以反映Verilog语法的最新变化,可供读者学习、查询之用。本书的教学方式

5、以每2学时讲授一章为宜,每次课后需要花10 h复习思考。完成10章学习后,就可以开始做上机练习,由简单到复杂,由典型到一般,循序渐进地学习Verilog HDL基础知识。按照书上的步骤,可以使大学电子类及计算机工程类本科及研究生,以及相关领域的设计工程人员在半年内掌握Verilog HDL设计技术。本书可作为电子工程类、自动控制类、计算机类的大学本科高年级及研究生教学用书,亦可供其他工程人员自学与参考。目录第一部分 Verilog数字设计基础第1章 Verilog的基本知识1.1 硬件描述语言HDL1.2 Verilog HDL的历史1.2.1 什么是Verilog HDL1.2.2 Veri

6、log HDL的产生及发展1.3 Verilog HDL和 VHDL的比较1.4 Verilog的应用情况和适用的设计1.5 采用Verilog HDL设计复杂数字电路的优点1.5.1 传统设计方法电路原理图输入法1.5.2 Verilog HDL设计法与传统的电路原理图输入法的比较1.5.3 Verilog的标准化与软核的重用1.5.4 软核、固核和硬核的概念及其重用1.6 采用硬件描述语言(Verilog HDL)的设计流程简介1.6.1 自顶向下(Top_Down)设计的基本概念1.6.2 层次管理的基本概念1.6.3 具体模块的设计编译和仿真的过程1.6.4 具体工艺器件的优化、映像和

7、布局布线小结思考题第2章 Verilog语法的基本概念概述2.1 Verilog模块的基本概念2.2 Verilog用于模块的测试小结思考题第3章 模块的结构、数据类型、变量和基本运算符号概述3.1 模块的结构3.1.1 模块的端口定义3.1.2 模块内容3.1.3 理解要点3.1.4 要点总结3.2 数据类型及其常量和变量3.2.1 常量3.2.2 变量3.3 运算符及表达式3.3.1 基本的算术运算符3.3.2 位运算符小结思考题第4章 运算符、赋值语句和结构说明语句概述4.1 逻辑运算符4.2 关系运算符4.3 等式运算符4.4 移位运算符4.5 位拼接运算符4.6 缩减运算符4.7 优

8、先级别4.8 关 键 词4.9 赋值语句和块语句4.9.1 赋值语句4.9.2 块语句小结思考题第5章 条件语句、循环语句、块语句与生成语句概述5.1 条件语句(if_else语句)5.2 case语句5.3 条件语句的语法5.4 多路分支语句5.5 循环语句5.5.1 forever语句5.5.2 repeat语句5.5.3 while语句5.5.4 for语句5.6 顺序块和并行块5.6.1 块语句的类型5.6.2 块语句的特点5.7 生成块5.7.1 循环生成语句5.7.2 条件生成语句5.7.3 case生成语句5.8举例5.8.1 四选一多路选择器5.8.2 四位计数器小结思考题第6

9、章 结构语句、系统任务、函数语句和显示系统任务 概述6.1 结构说明语句6.1.1 initial语句6.1.2 always语句6.2 task和function说明语句6.2.1 task和function说明语句的不同点6.2.2 task说明语句6.2.3 function说明语句6.2.4 函数的使用举例6.2.5 自动(递归)函数6.2.6 常量函数6.2.7 带符号函数 6.3 关于使用任务和函数的小结6.4 常用的系统任务6.4.1 display和write任务6.4.2 文件输出6.4.3 显示层次6.4.4 选通显示 6.4.5 值变转储文件6.5 其他系统函数和任务 小

10、结思考题 第7章 调试用系统任务和常用编译预处理语句概述7.1 系统任务 monitor7.2 时间度量系统函数time7.3 系统任务finish7.4 系统任务stop7.5 系统任务readmemb和readmemh7.6 系统任务 random7.7 编译预处理7.7.1 宏定义?define7.7.2 文件包含处理?include7.7.3 时间尺度?timescale7.7.4 条件编译命令?ifdef、?else、?endif7.7.5 条件执行小结思考题第8章 语法概念总复习练习概述小结第二部分 设计和验证部分第9章 Verilog HDL模型的不同抽象级别概述9.1 门级结构

11、描述9.1.1 与非门、或门和反向器及其说明语法9.1.2 用门级结构描述D触发器9.1.3 由已经设计成的模块构成更高一层的模块9.2 Verilog HDL的行为描述建模9.2.1 仅用于产生仿真测试信号的Verilog HDL行为描述建模9.2.2 Verilog HDL建模在TopDown设计中的作用和行为建模的可综合性问题9.3 用户定义的原语小结思考题第10章 如何编写和验证简单的纯组合逻辑模块概述10.1 加法器10.2 乘法器10.3 比较器10.4 多路器10.5 总线和总线操作10.6 流水线小结思考题第11章 复杂数字系统的构成概述11.1 运算部件和数据流动的控制逻辑1

12、1.1.1 数字逻辑电路的种类11.1.2 数字逻辑电路的构成11.2 数据在寄存器中的暂时保存11.3 数据流动的控制11.4 在Verilog HDL设计中启用同步时序逻辑11.5 数据接口的同步方法小结思考题第12章 同步状态机的原理、结构和设计概述12.1 状态机的结构12.2 Mealy状态机和Moore状态机的不同点12.3 如何用Verilog来描述可综合的状态机12.3.1 用可综合Verilog模块设计状态机的典型办法12.3.2 用可综合的Verilog模块设计、用独热码表示状态的状态机12.3.3 用可综合的Verilog模块设计、由输出指定的码表示状态的状态机12.3.

13、4 用可综合的Verilog模块设计复杂的多输出状态机时常用的方法小结思考题第13章 设计可综合的状态机的指导原则概述13.1 用Verilog HDL语言设计可综合的状态机的指导原则13.2 典型的状态机实例13.3 综合的一般原则13.4 语言指导原则13.5 可综合风格的Verilog HDL模块实例13.5.1 组合逻辑电路设计实例13.5.2 时序逻辑电路设计实例13.6 状态机的置位与复位13.6.1 状态机的异步置位与复位13.6.2 状态机的同步置位与复位小结思考题第14章 深入理解阻塞和非阻塞赋值的不同概述14.1 阻塞和非阻塞赋值的异同14.1.1 阻塞赋值14.1.2 非

14、阻塞赋值14.2 Verilog模块编程要点14.3 Verilog的层次化事件队列14.4 自触发always块14.5 移位寄存器模型14.6 阻塞赋值及一些简单的例子14.7 时序反馈移位寄存器建模14.8 组合逻辑建模时应使用阻塞赋值14.9 时序和组合的混合逻辑使用非阻塞赋值14.10 其他阻塞和非阻塞混合使用的原则14.11 对同一变量进行多次赋值14.12 常见的对于非阻塞赋值的误解小结思考题第15章 较复杂时序逻辑电路设计实践概述小结思考题第16章 复杂时序逻辑电路设计实践概述16.1 二线制I2C CMOS串行EEPROM的简单介绍16.2 I2C总线特征介绍16.3 二线制

15、I2C CMOS串行EEPROM的读写操作 16.4 EEPROM的Verilog HDL程序总结思考题第17章 简化的 RISC_CPU设计概述17.1 课题的来由和设计环境介绍17.2 什么是CPU17.3 RISC_CPU结构17.3.1 时钟发生器 17.3.2 指令寄存器17.3.3 累加器17.3.4 算术运算器17.3.5 数据控制器17.3.6 地址多路器17.3.7 程序计数器17.3.8 状态控制器17.3.9 外围模块17.4 RISC_CPU 操作和时序17.4.1 系统的复位和启动操作17.4.2 总线读操作17.4.3 总线写操作17.5 RISC_CPU寻址方式和

16、指令系统17.6 RISC_CPU模块的调试 17.6.1 RISC_CPU模块的前仿真17.6.2 RISC_CPU模块的综合17.6.3 RISC_CPU模块的优化和布局布线小结思考题第18章 虚拟器件/接口、IP和基于平台的设计方法及其在大型数字系统设计中的作用概述18.1 软核和硬核、宏单元、虚拟器件、设计和验证IP以及基于平台的设计方法18.2 设计和验证IP供应商18.3 虚拟模块的设计18.4 虚拟接口模块的实例小结思考题第三部分 设计示范与实验练习概述练习一 简单的组合逻辑设计练习二 简单分频时序逻辑电路的设计练习三 利用条件语句实现计数分频时序电路练习四 阻塞赋值与非阻塞赋值

17、的区别练习五 用always块实现较复杂的组合逻辑电路练习六 在Verilog HDL中使用函数练习七 在Verilog HDL中使用任务(task)练习八 利用有限状态机进行时序逻辑的设计练习九 利用状态机实现比较复杂的接口设计练习十 通过模块实例调用实现大型系统的设计练习十一 简单卷积器的设计附录一 A/D转换器的Verilog HDL模型机所需要的技术参数附录二 2K*8位 异步 CMOS 静态RAM HM65162模型练习十二 利用SRAM设计一个FIFO第四部分 语法篇语法篇1 关于Verilog HDL的说明一、 关于 IEEE 1364标准二、 Verilog简介三、 语法总结四

18、、 编写Verilog HDL源代码的标准五、 设计流程语法篇2 Verilog硬件描述语言参考手册一、 Verilog HDL语句与常用标志符(按字母顺序排列)二、 系统任务和函数(System task and function)三、 常用系统任务和函数的详细使用说明四、 Command Line Options 命令行的可选项五、 IEEE Verilog 13642001标准简介(2)数字系统设计基础教程前言:本书将数字系统作为一个整体的系统,并按层次结构对数字系统进行划分和论述。论题涉及了数字系统技术的各个方面,如:数制、编码、布尔代数、逻辑门、组合逻辑设计、时序电路、VHDL基本概

19、念、VLSI设计基本概念、CMOS逻辑电路和硅芯片、存储器部件、计算机原理和计算机体系结构基础知识等等。本书将传统的数字电路知识和现代技术相结合,适于大专院校相关专业的学生作教科书之用。第1章 数字系统的概念1.1 什么是数字系统1.2 数字系统的概况1.2.1 层次1.2.2 个人计算机1.3 二进制数的介绍1.4 数据的表示1.5 二进制数及十进制数1.5.1 二进制到十进制的转换1.5.2 十进制到二进制的转换1.5.3 小数1.5.4 十六进制数1.6 单元和层次1.7 系统原语1.8 量度1.9 本书的层次安排1.10 问题第2章 布尔代数和逻辑门2.1 数据表示及处理2.2 基本逻

20、辑运算2.2.1 非运算2.2.2 或门2.2.3 与门2.3 基本恒等式2.3.1 非恒等式2.3.2 或恒等式2.3.3 与恒等式2.4 代数定律2.4.1 交换律2.4.2 结合律2.4.3 分配律2.5 或非门和与非门2.6 有用的布尔恒等式2.7 代数简化2.8 完全逻辑集2.8.1 基于与非门的逻辑2.8.2 基于或非门的逻辑2.9 IEEE逻辑门符号2.10 问题第3章 组合逻辑设计3.1 问题的确定3.2 标准逻辑形式3.2.1 乘积之和形式3.2.2 和之乘积形式3.3 提取标准形式3.3.1 最小项和最大项3.3.2 SOP和POS形式的属性3.4 异或门及等效运算3.5

21、逻辑阵列3.5.1 AND阵列和OR阵列3.5.2 SOP阵列和POS阵列3.5.3 逻辑阵列的应用3.6 BCD和7段显示3.7 卡诺图3.8 3变量卡诺图3.8.1 “不关心”条件3.8.2 可选的3变量卡诺图布局3.9 4变量卡诺图3.10 逻辑设计者的作用3.11 问题第4章 数字硬件4.1 将电压作为逻辑变量4.2 数字集成电路4.3 逻辑延迟时间4.3.1 输出转换时间4.3.2 传输延时4.3.3 扇入和扇出4.3.4 扩展到其他逻辑门4.3.5 逻辑级联4.4 基本电子电路第5章 VHDL的基本概念第6章 CMOS逻辑电路第7章 硅芯片和VLSI第8章 逻辑部件第9章 存储元件与阵列第10章 时序逻辑网络第11章 计算机基础第12章 先进计算机概念

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1