ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:85.76KB ,
资源ID:8808074      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8808074.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(edavhdl程序设计 汽车灯设计1.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

edavhdl程序设计 汽车灯设计1.docx

1、edavhdl程序设计 汽车灯设计1汽车灯0911020104 吴珺一、设计目的设计一个组合控制电路,使得1 前大灯可以随意打开和关闭;2 当汽车左转弯的时候,前左灯闪烁,同时左后灯的3盏灯由右往左闪烁;3 当汽车右转弯的时候,前右灯闪烁,同时右后灯的3盏灯有左往右闪烁;4 当汽车减速或紧急刹车的时候,左后灯和右后灯同时闪烁;5 当汽车在左转弯的同时减速,则前左转向灯闪烁,左后灯的3盏灯由右往左闪烁,同时右后灯都点亮。6 当汽车在右转弯的同时减速,则前右转向灯闪烁,右后灯的3盏灯有左往右闪烁,同时左后灯都点亮。二实验原理及设计过程指令开关控制:大前灯控制、刹车/减速控制、左转向控制、右转向控制

2、输出的指标:前大灯、前转向灯(分左灯和右灯, 即前左灯和前右灯)、左后灯3盏、右后灯3盏设计过程:后灯的循环移位,因为有三盏灯,所以用有三个状态的状态机,每个状态对应一组3位的输出,控制3盏灯循环地亮。灯的闪烁,用到T触发器的原理,设计一个中间变量,当来第一个时钟脉冲的时候,将这个中间变量取反,再来一个时钟脉冲的时候,再取反一次,有回到原来的初值,从而两个时钟脉冲实现两次翻转,发光二极管闪烁一下。整个设计基本上由循环电路和控制电路组成。为了避免汽车从一个状态切换到另一个状态是灯控制组合出现不稳定现象,所以每一个状态都必须对中间变量初始化。三、设计源程序模块说明:车灯控制器模块:LIBRARY

3、IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY car_control IS PORT( turn_fro_big_light : IN std_logic; -前大灯控制开关 turn_left : IN std_logic; -左转 turn_right : IN std_logic; -右转 bake : IN std_logic; -刹车或减速 fro_big_light_con : OUT std_logic; -前大灯 fro_L_light_con : OUT std_logic; -前左灯

4、 fro_R_light_con : OUT std_logic; -前右灯 back_L_lights_con : OUT std_logic_vector( 2 downto 0); -后左灯 back_R_lights_con : OUT std_logic_vector( 2 downto 0) ;- -后右灯 );END car_control;ARCHITECTURE arch_car_control of car_control ISBEGIN process( turn_left, turn_right, bake, turn_fro_big_light) BEGIN if(

5、turn_fro_big_light = 1 ) then fro_big_light_con = 1; else fro_big_light_con = 0;end if; -如果前灯控制为1,则前灯亮;否则不亮-when nothing to do 在匀速直线行驶的情况下,前左右灯不亮,后左右转向灯不亮 if( turn_left = 0 and turn_right = 0 and bake = 0) then fro_L_light_con = 0; fro_R_light_con = 0; back_L_lights_con = 000; back_R_lights_con = 00

6、0; -在只是减速刹车的情况下,前转向灯不亮,后左右转向灯闪烁 elsif( turn_left = 0 and turn_right = 0 and bake = 1) then fro_L_light_con = 0; fro_R_light_con = 0; back_L_lights_con = 010; back_R_lights_con = 010; -在只是右转的情况下,前右转向灯闪烁,同时右后灯的3盏灯有左往右闪烁;其他左右灯不亮 elsif( turn_left = 0 and turn_right = 1 and bake = 0)then fro_L_light_con

7、= 0; fro_R_light_con = 1; back_L_lights_con = 000; back_R_lights_con = 001; -当汽车在右转弯的同时减速,则前右转向灯闪烁,右后灯的3盏灯有左往右闪烁,同时左后灯都点亮。 elsif( turn_left = 0 and turn_right = 1 and bake = 1)then fro_L_light_con = 0; fro_R_light_con = 1; back_L_lights_con = 100; back_R_lights_con = 001; -当汽车左转弯的时候,前左转向灯闪烁,同时左后灯的3盏

8、灯由右往左闪烁;其他左右灯不亮 elsif( turn_left = 1 and turn_right = 0 and bake = 0)then fro_L_light_con = 1; fro_R_light_con = 0; back_L_lights_con = 001; back_R_lights_con = 000; -当汽车在左转弯的同时减速,则前左转向灯闪烁,左后灯的3盏灯由右往左闪烁,同时右后灯都点亮。 elsif( turn_left = 1 and turn_right = 0 and bake = 1)then fro_L_light_con = 1; fro_R_li

9、ght_con = 0; back_L_lights_con = 001; back_R_lights_con = 100; -指令错误,即左转又右转,不刹车,前后左右灯不亮 elsif( turn_left = 1 and turn_right = 1 and bake = 0)then fro_L_light_con = 0; fro_R_light_con = 0; back_L_lights_con = 000; back_R_lights_con = 000; -指令错误,即左转又右转,刹车,前后左右灯不亮 elsif( turn_left = 1 and turn_right =

10、1 and bake = 1)then fro_L_light_con = 0; fro_R_light_con = 0; back_L_lights_con = 000; back_R_lights_con = 000; end if; END process;END arch_car_control;2后转向灯控制器模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY back_light IS PORT( clk : IN STD_LOGIC; lights_control : IN STD_LOGIC_VECTOR( 2 DOWNTO 0)

11、; lights : OUT STD_LOGIC_VECTOR( 2 DOWNTO 0) );end back_light; ARCHITECTURE arch_back_light OF back_light ISTYPE state1 IS(s0,s1,s2,s3);TYPE state2 IS(t0,t1);SIGNAL presentstate1 : state1;SIGNAL presentstate2 : state2;BEGIN PROCESS( clk, presentstate1 ) BEGIN if(clkevent and clk = 1) then if( lights

12、_control = 000) THEN -灯不亮 lights lights = 001; presentstate1 lights = 011; presentstate1 lights = 111; presentstate1 lights = 000; presentstate1 lights = 000; presentstate2 lights = 111; presentstate2 = t0; end case; -后灯是否全都闪烁一下 elsif( lights_control = 100 ) THEN lights = 111; -灯一直亮 end if; end if;

13、END process;end arch_back_light; 3前灯模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY fro_light IS PORT( clk : IN STD_LOGIC; glistenab : IN STD_LOGIC; glisten : OUT STD_LOGIC );end fro_light;ARCHITECTURE arch_fro_light OF fro_light ISBEGIN process( clk, glistenab) -实现的功能

14、是前灯的亮灭 BEGIN if( glistenab = 1)THEN glisten = clk; else glisten = 0; end if; end process;end arch_fro_light;仿真结果 仿真图如下所示:以防上面的错误以下是从ise上copy的能够仿真的文件:1 车灯控制器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY car_control IS PORT( turn_fro_big

15、_light : IN std_logic; turn_left : IN std_logic; turn_right : IN std_logic; bake : IN std_logic; fro_big_light_con : OUT std_logic; fro_L_light_con : OUT std_logic; fro_R_light_con : OUT std_logic; back_L_lights_con : OUT std_logic_vector( 2 downto 0); back_R_lights_con : OUT std_logic_vector( 2 dow

16、nto 0) );END car_control;ARCHITECTURE arch_car_control of car_control ISBEGIN process( turn_left, turn_right, bake, turn_fro_big_light) BEGIN if( turn_fro_big_light = 1 ) then fro_big_light_con = 1; else fro_big_light_con = 0; end if; if( turn_left = 0 and turn_right = 0 and bake = 0) then fro_L_lig

17、ht_con = 0; fro_R_light_con = 0; back_L_lights_con = 000; back_R_lights_con = 000; elsif( turn_left = 0 and turn_right = 0 and bake = 1) then fro_L_light_con = 0; fro_R_light_con = 0; back_L_lights_con = 010; back_R_lights_con = 010; elsif( turn_left = 0 and turn_right = 1 and bake = 0)then fro_L_li

18、ght_con = 0; fro_R_light_con = 1; back_L_lights_con = 000; back_R_lights_con = 001; elsif( turn_left = 0 and turn_right = 1 and bake = 1)then fro_L_light_con = 0; fro_R_light_con = 1; back_L_lights_con = 100; back_R_lights_con = 001; elsif( turn_left = 1 and turn_right = 0 and bake = 0)then fro_L_li

19、ght_con = 1; fro_R_light_con = 0; back_L_lights_con = 001; back_R_lights_con = 000; elsif( turn_left = 1 and turn_right = 0 and bake = 1)then fro_L_light_con = 1; fro_R_light_con = 0; back_L_lights_con = 001; back_R_lights_con = 100; elsif( turn_left = 1 and turn_right = 1 and bake = 0)then fro_L_li

20、ght_con = 0; fro_R_light_con = 0; back_L_lights_con = 000; back_R_lights_con = 000; elsif( turn_left = 1 and turn_right = 1 and bake = 1)then fro_L_light_con = 0; fro_R_light_con = 0; back_L_lights_con = 000; back_R_lights_con = 000; end if; END process;END arch_car_control;2 后灯模块library IEEE;use IE

21、EE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY back_light IS PORT( clk : IN STD_LOGIC; lights_control : IN STD_LOGIC_VECTOR( 2 DOWNTO 0); lights : OUT STD_LOGIC_VECTOR( 2 DOWNTO 0) );end back_light; ARCHITECTURE arch_back_light OF back_light ISTYPE state1 I

22、S(s0,s1,s2,s3);TYPE state2 IS(t0,t1);SIGNAL presentstate1 : state1;SIGNAL presentstate2 : state2;BEGIN PROCESS( clk, presentstate1 ) BEGIN if(clkevent and clk = 1) then if( lights_control = 000) THEN lights lights = 001; presentstate1 lights = 011; presentstate1 lights = 111; presentstate1 lights =

23、000; presentstate1 lights = 000; presentstate2 lights = 111; presentstate2 = t0; end case; elsif( lights_control = 100 ) THEN lights = 111; end if; end if; END process;end arch_back_light; 3 前灯模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fro_light IS PORT( clk : IN STD_LOGIC; glistenab : IN STD_LOGIC; glisten : OUT STD_LOGIC );end fro_light;ARCHITECTURE arch_fro_light OF fro_light ISBEGIN process( clk, glistenab) BEGIN if( glistenab = 1)THEN glisten = clk; else glisten = 0; end if; end process;end arch_fro_light;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1