ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:21.83KB ,
资源ID:849115      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/849115.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VHDL复习题.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

VHDL复习题.docx

1、VHDL复习题一、选择题( A )1.一个项目得输入输出端口就是定义在:A、 实体中 B、 结构体中C、 任何位置 D、 进程体 ( B)2.描述项目具有逻辑功能得就是:A、 实体 B、 结构体C、 配置 D、 进程( A )3.关键字ARCHITECTURE定义得就是:A、 结构体 B、 进程C、 实体 D、 配置 ( D )4.VHDL语言中变量定义得位置就是:A、 实体中中任何位置 B、 实体中特定位置C、 结构体中任何位置 D、 结构体中特定位置 ( D )5.VHDL语言中信号定义得位置就是:A、 实体中任何位置 B、 实体中特定位置C、 结构体中任何位置 D、 结构体中特定位置(

2、B )6.变量就是局部量可以写在:A、 实体中 B、 进程中C、 线粒体 D、 种子体中 ( A )7.变量与信号得描述正确得就是:A、 变量赋值号就是:= B、 信号赋值号就是:=C、 变量赋值号就是= D、 二者没有区别( B )8、 变量与信号得描述正确得就是:A、 变量可以带出进程 B、 信号可以带出进程C、 信号不能带出进程 D、 二者没有区别( )9.对于信号与变量得说法,哪一个就是不正确得:A、 信号用于作为进程中局部数据存储单元 B、 变量得赋值就是立即完成得C、 信号在整个结构体内得任何地方都能适用D、 变量与信号得赋值符号不一样( A )10.下列关于变量得说法正确得就是:

3、A. 变量就是一个局部量,它只能在进程与子程序中使用 B. B、 变量得赋值不就是立即发生得,它需要有一个延时C、 在进程得敏感信号表中,既可以使用信号,也可以使用变量D、 变量赋值得一般表达式为:目标变量名= 表达式( C )11.可以不必声明而直接引用得数据类型就是:A、 STD_LOGIC B、 STD_LOGIC_VECTORC、 BIT D、 前面三个答案都就是错误得( C )12.STD_LOGIG_1164中定义高阻得字符就是:A、 X B、 xC、 z D、 Z ( A )13.STD_LOGIG_1164中字符H定义得就是:A、 弱信号1 B、 弱信号0C、 没有这个定义 D

4、、 初始值 ( B )14.使用STD_LOGIG_1164中得数据类型时:A、 可以直接调用 B、 必须在库与包集合中声明C、 必须在实体中声明 D、 必须在结构体中声明 ( B )15.关于转化函数说法正确得就是:A、 任何数据类型都可以通过转化函数相互转化B、 只有特定类型得数据类型可以转化 C、 任何数据类型都不能转化D、 前面说法都就是错误得( C )16.VHDL运算符优先级说法正确得就是:A、 逻辑运算得优先级最高 B、 关系运算得优先级最高C、 逻辑运算得优先级最低 D、 关系运算得优先级最低( D )17.VHDL运算符优先级说法正确得就是:A、 NOT得优先级最高 B、 A

5、ND与NOT属于同一个优先级C、 NOT得优先级最低 D、 前面得说法都就是错误得( D )18.VHDL运算符优先级说法正确得就是:A、 括号不能改变优先级 B、 不能使用括号C、 括号得优先级最低 D、 括号可以改变优先级 ( B )19.如果a=1,b=0,则逻辑表达式(a AND b) OR( NOT b AND a)得值就是:A、 0 B、 1C、 2 D、 不确定( B )20.正确给变量X赋值得语句就是:A、 XNULLC、 CASE语句中得选择值只能出现一次,且不允许有相同得选择值得条件语句出现D、 CASE语句执行必须选中,且只能选中所列条件语句中得一条( D )29.VHDL中,为目标变量赋值符号就是:A、 =: B、 =C、 10 THEN Q1 0); - 置零 ELSE Q1 = Q1 + 1 ; - 加1 END IF; END IF; END PROCESS ; ; - 输出END bhv;2.以下程序就是BCD码表示099计数器得VHDL描述,试补充完整。LIBRARY IEEE;USE IEEE、STD_LOGIC_1164、ALL;USE ;ENTITY cnt100b is port( clk, rst, en : in std_logic; cq : out std_logic_vector(7 downto 0);

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1