ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:24.32KB ,
资源ID:8487972      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8487972.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(最新EDA课程点阵汇总.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

最新EDA课程点阵汇总.docx

1、最新EDA课程点阵汇总EDA课程点阵北 华 航 天 工 业 学 院课程设计报告(论文)设计课题: 16*16点阵控制接口设计专业班级: 学生姓名: 指导教师: 胡辉 设计时间: 2014.12.22 课程设计任务书课题名称16X16点阵控制接口设计完成时间12.30指导教师胡辉职称副教授学生姓名班 级总体设计要求和技术要点总体设计要求: 通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。技术要点:1在时钟信号的控制下,使点阵动态点亮,点亮方式自行设计,其中位选信号为16-4

2、编码器编码输出。2设计8位开关控制的8种图案显示。3设计4位或4位以上的汉字循环显示,例如“北华航天” 。工作内容及时间进度安排课程设计成果1与设计内容对应的软件程序2课程设计报告书3成果使用说明书4设计工作量要求2014年12月28日摘要 本文介绍了一种采用单片FPGA芯片进行16X16 LED点阵控制接口电路设计的方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法。LED点阵显示是由8X8、16X16或多个独立的LED封装而成。LED点阵显示屏可以显示各种数字、汉字或其他字符。设计使用Quartus II软件,通过VHDL语言的设计,采用动态扫描的显示方法,LED列由416译码器

3、控制。最终通过试验箱实现功能。 关键词:16X16 LED点阵;QuartusII;VHDL;416译码器一、设计原理1一、设计原理本设计所使用的1616的点阵,EDA实验箱上有其接口电路,列选信号是由一个4位SEL3.0控制经4线16线译码器输出16列;行选信号为L0L15,是由16个行信号组成的,每一行由一个单独的位来控制,高电平有效。1616扫描LED点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口每个共阴极对应有16个LED显示灯,所以其扫描译码地址需4位信号线(SEL0-SEL3),其汉字扫描码由16位地址(0-15)输入。通过时钟的每列扫描显示完整汉字。二、设计方案根据

4、系统设计的要求,设计主要由:分频器模块、计数器模块(2个)、点阵显示模块等构成。分频器主要将时钟进行分频产生比原来时钟小得多的另一信号让电路工作。计数器模块主要在时钟的驱动下为存储器提供扫描地址,实现对点阵模块的控制。并置模块主要用于将计数器产生的信号并置,从而实现汉字间的循环显示。点阵模块显示汉字及其他花式。原理图如下:三、设计模块1分频器分频器将实验箱提供的20MHz的时钟脉冲分为多个较低频率的时钟脉冲,以产生提供给列循环扫描所用的时钟信号和控制图像汉字移动的时钟信号。计数器1采用clk5分出1.2hz的时钟脉冲,使得汉子1秒转换一次。计数器 2采用clk0分出的1.25mhz的时钟脉冲对

5、每一列扫描,来完成对图形的现实,只要扫描的频率足够快,就能给人以连续的感觉。因此要控制扫描的频率,不能太低,否则,就会造成视觉上的不连续,本设计的扫描频率不得低于24Hz。2.计数器及显示由于设计产生了4种汉字循环滚动,使用拨码开关key0控制显示花样,当key0为1时,“圣诞快乐”四个字循环滚动,当key0为0时,3位拨码开关key1起作用,用来控制8种图案。四、心得体会五天的时间虽然很短暂,但在这一段的设计过程中我收获颇多。整个设计过程中首先让我对EDA有了更深的了解,并且让我对QUARTERS软件的使用更加熟练。尤其在编程方面让我有了很大的进步,从只会写一些简单的小程序到这种综合性的设计

6、,我成长了许多,学会了如何使用EDA这门技术。在编程完毕进行调试时,我们也遇到了一些困难,程序导入后发现和预想的结果有出入,归根结底还是程序编写不合理,通过思考和修改,我们成功的完成了这次课设。我深知,虽然完成了课程设计的要求,但是我感觉到我对VHDL语言的理解还停留在比较浅的层次,要想真正掌握它还需要继续努力学习它。五、程序计数器1library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jsq is port ( clk1:in

7、std_logic; key0 :in std_logic; key : in std_logic_vector(2 downto 0); a:buffer std_logic_vector(3 downto 0);end jsq;architecture one of jsq isbeginprocess(clk1)begin - if (clk1event and clk1=1)then if key0=1 then if a=3 then a=0000; else a a a a a a a a a NULL; end case; end if ; end if; end process

8、;end one ;计数器2library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jsq2 isport( clk2:in std_logic; sao : buffer std_logic_vector( 3 downto 0) );end jsq2;architecture two of jsq2 isbegin process(clk2,sao) begin if (clk2event and clk2=1)then -25HZ,liesaoxinhao if (sao=15)the

9、n sao=0000; else sao case n is when 1111=llllllllllllllllnull; end case; when 0001= case n is when 1111=llllllllllllllllnull; end case; when 0010= case n is when 1111=llllllllllllllllnull; end case; when 0011= case n is when 1111=llllllllllllllllnull; end case; when 0100=case n is when 1111=llllllll

10、llllllllnull;end case;when 0101=case n is when 1111=llllllllllllllllnull;end case;when 0110=case n is when 1111=llllllllllllllllnull;end case; when 0111= case n is when 1111=llllllllllllllllnull; end case; when 1000= case n is when 1111=llllllllllllllllnull; end case; when 1001=case n is when 1111=llllllllllllllll=0000000000000000;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1