ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:580.83KB ,
资源ID:8345028      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8345028.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(16选1选择器数字逻辑课程设计.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

16选1选择器数字逻辑课程设计.docx

1、16选1选择器数字逻辑课程设计课 程 设 计 报 告课程名称 数字逻辑课程设计 课题 任务一 16选1选择器设计 课题 任务二 JK触发器的设计 专 业 网络工程 班 级 0901 学 号 01 姓 名 吴 健 剑 指导教师 刘洞波 邓作杰 陈多2011年 01月 09日课程设计任务书课程名称 数字逻辑课程设计 课题 任务一 16选1选择器设计 课题 任务二 JK触发器的设计 专业班级 网络工程 学生姓名 0901 学 号 01 指导老师 刘洞波 邓作杰 陈多 审 批 任务书下达日期: 2011年 01月 09日任务完成日期: 2011年 01月 21日目录 一、16选1选择器的功能.1函数真

2、值表.2函数电路图.3函数表达式.二、详细设计.1创建项目.2VHDL文本设计语言输入.3编译功能界面.4编译成功.5. 打开波形编辑器窗口.6.对应结点查找.7.综合编译形成网表.三、程序功能调试.1进入波形仿真功能.2给定输入信号.3进行时序仿真.4生成波形图.四、心得体会.-一、JK触发器的主要功能.1特性方程.2真植表.3函数逻辑电路图.二、详细设计.1创建项目.2输入文本语言程序进行编译.3编译成功,选择波形编辑器功能.4进行仿真设置.5查找对应结点.6形成综合后网表.三、程序功能调试.1给定输入.2进入波形仿真.3形成仿真波形.四、心得体会.五、附录.116选1选择器设计源代码.2

3、JK触发器设计源代码.3参考书目.4课程设计评分表.一、16选1选择器的主要功能数据选择器是常用的组合逻辑部件之一。它由组合逻辑电路对数字信号进行控制来完成比较复杂的逻辑功能。它有若干个数据输入端D0、D1、.,若干个控制输入端A0、A1,.和一个输出端Y0。数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。它的功能相当于一个多个输入的单刀多掷开关.因此数据选择器又称多路转换器或多路开关。数据选择器(MUX)的逻辑功能是在控制输入端加上适当的信号,既可从多个输入数据源中讲所需的数据信号选择出来,送到输出端。1.函数真值表 输入输出ABCDE000000010010001101

4、0001010110011110001001101011001011110111101111说明:A,B,C,D为输入地址;E为输出端2.函数电路图3.函数表达式E=+二、详细设计1.工程管理,进入quartus|界面,创建项目2.VHDL文本语言设计输入3.编译功能界面4.编译成功5.打开波形编辑器窗口6.对应结点查找7.综合编译,形成综合编译后网表三、程序功能调试1.进入波形仿真功能2.给定输入信号3.进行时序仿真4.形成波形图四、心得体会总结 短短一周的EDA课程设计已经接近尾声了,从得知课设题目,查阅资料,到研究出总体设计,详细设计,然后编写程序,再到最后的上机调试,修改程序,完善程序

5、,收获颇多。16选1选择器的设计已全部完成,能够完成预期的功能,在本课题的设计中体现了VHDL覆盖面广,描述能力强,是一个多层次的硬件描述语言及PLD器件速度快,使用方便,便于修改等特点。由于时间有限和经验是平的欠缺,不足之处还望老师予以指正。在这一周里我们再次熟悉和增强了对VHDL语言的基本知识,熟悉利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来。VHDL硬件描述语言打破了硬件和软件设计人员之间互不干涉的界限,可以使用语言的形式来进行数字系统的硬件结构、行为的描述,直接设计数字电路硬件系统。通过编程、下载后,该芯片已经具备了原来需要使用复杂的数字电路实现的功能

6、;更加了解和加深了对编制和调试程序的技巧,进一步提高了上机动手能力,培养了使用设计综合电路的能力,养成了提供文档资料的习惯和规范编程的思想。本次的课程设计将各个单一的模块实现其功能后,学会通过原理图或顶层文件把各模块连接。课设注重的不仅是把理论知识巩固,而且应把理论和实际相结合,把知识应用到生活中。课设时间不长,要在短时间内完成绝非个人力量能达到的,要学会集众人之精华,还要善于利用已有的工具为己服务,开拓思维。课设让我们认识到所学本科知识的真正实用性,只是这门课开始研究的第一步。一、JK触发器的主要功能JK触发器是数字电路触发器中的一种电路单元。JK触发器具有置0、置1、保持和翻转功能,在各类

7、集成触发器中,JK触发器的功能最为齐全。在实际应用中,它不仅有很强的通用性,而且能灵活地转换其他类型的触发器。由JK触发器可以构成D触发器和T触发器。1.特性方程2.真值表CPJK0xx000xx1110000100111010010110110011101111101111103.逻辑电路图二、详细设计1.新建工程管理2.输入文本语言程序进行编译3.编译成功,选择波形编辑器功能4.对应结点5.形成综合编译后网表三、进行程序仿真调试1.给定输入信号2.波形仿真测试成功3.生成波形图四、心得体会总结 成了16选1选择器的电路设计之后,便开始了JK触发器的课题设计,因为前一个课设的完成,使我对于Q

8、UARTUS软件的使用有了一定的了解,所以在这个实验设计的时候也轻松了很多。在完成JK触发器的课设时,我只要参看书本上的JK触发器的设计思路完成源代码的编写即可,然后就是上机测试编写的程序,结果在测试时,出现了错误,但是在老师和同学的帮助下,我终于解决了它,然后编译、仿真。虽然第二个课设没有花费很多的时间,但是我还是发现,在实际设计中,仅仅拥有书本上的理论性知识是远远不够的,还要把知识与实际操作相结合,才能更加了解这门课程的精奥之处。课程设计结束了,但是从中学到的知识会让我受益终身。发现、提出、分析、解决问题和实践能力的提高都会受益于我在以后的学习、工作和生活中。在设计的过程中发现了自己的不足

9、之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。本次实验我对一些基本操作有了更好的掌握,对于数字逻辑电路的设计也有了更深刻的理解。本次实验老师都是要求独立完成。在这一点上很好的锻炼了我们的独立完成设计的能力。这是一次有意义实验。实验过程中我们遇到了很多困难然后再自己的努力下克服困难,让我们体会到的不仅是实验课程设计的乐趣,更让我们学会了怎样面对困难。我想自己在计算机方面的知识还远远不够,在今后的学习和生活中我会更加努力的不断学习吸取新的知识,注重知识和实践的结合。 最后,我们衷心的感谢课设期间一直指导和陪伴着我们的老师。五、附录1.16选1选择器源代码:library ieee;use

10、 ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-*entity lesson8 isport(a: in std_logic_vector(15 downto 0); sw: in std_logic_vector(3 downto 0); ena:in std_logic; y: out std_logic);end lesson8;-*architecture one of lesson8 isbeginprocess(ena,sw) begin if ena=1 then case sw is when 0000=yyy

11、yyyyyyyyyyyyyy=X; end case;end if;end process;end architecture one;2.JK触发器源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jk isport( j,k:in std_logic; reset,clr: in std_logic; clk: in std_logic; Q,QN:out std_logic);end jk;architecture behave of jk issignal Q1,Q2:s

12、td_logic;beginprocess(reset,clr,clk,j,k)beginif(reset=0)then Q1=1; Q2=0;elsif(clr=0)then Q1=0; Q2=1;elsif(clkevent and clk=1)then if(j=0 and k=0)then Q1=Q1;Q2=Q2; elsif(j=0 and k=1)then Q1=0;Q2=1; elsif(j=1 and k=0)then Q1=1;Q2=0; elsif(j=1 and k=1)then Q1=not Q1;Q2=not Q2; end if;end if;end process

13、;Q=Q1;QN=Q2;end behave;参考书目1EDA技术与VHDL程序开发基础教程雷伏容,李俊,尹霞清华大学出版社978-7-302-22416-72010TP312VH/362VHDL 电路设计技术王道宪贺名臣_刘伟国防工业出版社7-118-03352-92004TN702/623VHDL 实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/14VHDL 语言100 例详解北京理工大学ASIC研究所7-9006257-900625-02-X1999TP312VH/35VHDL编程与仿真王毅平等人民邮电出版社7-115-08641-9200073.9621/W38V6VHDL程序设计教程邢建平_曾繁泰清华大学出版社7-302-11652-02005TP312VH/27/37VHDL电路设计雷伏容清华大学出版社7-302-14226-22006TN702/185计算机与通信学院课程设计评分表课题名称:任务一 16选1选择器设计 任务二 JK触发器的设计 项 目评 价设计方案的合理性与创造性设计与调试结果设计说明书的质量答辩陈述与回答问题情况课程设计周表现情况综合成绩 教师签名: 日 期:

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1