ImageVerifierCode 换一换
格式:DOCX , 页数:34 ,大小:61.14KB ,
资源ID:820195      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/820195.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(FPGA习题集及参考答案.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

FPGA习题集及参考答案.docx

1、FPGA习题集及参考答案习题集及参考答案一、填空题1.一般把EDA技术的发展分为( )个阶段。2.FPGA/CPLD有如下设计步骤:原理图/HDL文本输入、适配、功能仿真、综合、编程下载、硬件测试,正确的设计顺序是( )。3.在EDA工具中,能完成在目标系统器件上布局布线的软件称为( )。4.设计输入完成之后,应立即对文件进行( )。5.基于硬件描述语言的数字系统设计目前最常用的设计方法称为( )设计法。6.将硬件描述语言转化为硬件电路的过程称为( )。 7.IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为( )IP。8.SOC系统又称为( )系统。SOPC系统又称为

2、( )系统。9.将硬核和固核作为( )IP核,而软核作为( )IP核。10.IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为( )。11.HDL综合器就是逻辑综合的过程,把可综合的VHDL/Verilog HDL转化成硬件电路时,包含了三个过程,分别是( )、( )、( )。12.EDA软件工具大致可以由五个模块构成,分别是设计输入编辑器、( )、( )、( )和( )。13.按仿真电路描述级别的不同,HDL仿真器分为( )仿真、( )仿真、( )仿真和门级仿真。14.系统仿真分为( )、( )和( )。15.( )仿真是对设计输入的规范检测,这种仿真通过只能表示编译

3、通过,说明设计满足一定的语法规范,但不能保证设计功能满足期望。16.( )仿真是对综合后的网表进行的仿真,它验证设计模块的基本逻辑功能,但不带有布局布线后产生的时序信息,是理想情况下的验证。17.( )仿真是布局布线后进行的后仿真,仿真时考虑了布线延时,和芯片实际的工作情况更加接近。18.目前Xilinx公司生产的FPGA主要采用了( )配置存储器结构。19.描述测试信号的变化和测试工程的模块叫做( )。20.现代电子系统设计领域中的EDA采用( )的设计方法。21.有限状态机可分为( )状态机和( )状态机两类。22.Verilog HDL中的端口类型有三类: ( )、( )、输入/输出端口

4、。23.Verilog HDL常用两大数据类型: ( )、( )。24.FPGA / CPLD设计流程为:原理图/HDL文本输入( )综合适配( )编程下载硬件测试。25.( )是描述数据在寄存器之间流动和处理的过程。26.连续赋值常用于数据流行为建模,常以( )为关键词。27.Verilog HDL有两种过程赋值方式:( )和( )。 28.timescale 1ns/100ps中1ns代表( ),100ps代表( )。29.未来的集成电路技术的发展趋势,把整上系统集成在一个芯片上去,这种芯片被称为( )。30.从互连结构上可将PLD分为确定型和统计型两类。确定型结构的代表是( ),统计型结

5、构代表是( ) 。31.CPLD是由( )的结构演变而来的。32.FPGA的核心部分是( ),由内部逻辑块矩阵和周围I/O接口模块组成。33.把基于电可擦除存储单元的EEPROM或Flash 技术的 的在系统下载称为 ( ),这个过程就是把编程数据写入E2CMOS单元阵列的过程。34.根据配置数据线数,器件配置可分为并行配置和串行配置两类。串行配置以( )为单位将配置数据载人可编程器件:而并行配置一般以( )为单位向可编程器件载入配置数据。35.FPGA的配置模式有从动串行模式、从动并行模式、主动串行模式、主动并行模式、以及( )模式。36.可编程逻辑器件的配置方式分为( )和( )两类。37

6、.VerilogHDL是在( )年正式推出的。38.在verilog HDL的always块本身是( )语句。 39.Verilog HDL中的always语句中的语句是( )语句。40.Verilog HDL提供了标准的系统任务,用于常用的操作。如显示、文件输入/输出等,系统函数前都有一个标志符 ( )加以确认。41.Verilog HDL很好地支持了“自顶向下”的设计理念,即,复杂任务分解成的小模块完成后,可以通过( )的方式,将系统组装起来。42.Verilog HDL模块分为两种类型:一种是( )模块,即,描述某种电路系统结构,功能,以综合或者提供仿真模型为设计目的;另一种是 ( )模

7、块,即,为功能模块的测试提供信号源激励、输出数据监测。43.Verilog语言中,标识符可以是任意一组字母、数字、( )符号和下划线符号的组合。44.state,State ,这两个标识符是( )同。45.assign c=ab? a: b中,若a=3,b=2,则c=( );若a=2,b=3,则c=( )。46.在Verilog HDL的逻辑运算中,设A=4b1010,则表达式A的结果为( )47.在Verilog HDL的逻辑运算中,设a=2 ,b=0,则a & b结果为( ), a | b 结果为( )。48.在Verilog HDL的逻辑运算中,设 a = 4b1010, a 1结果是(

8、 )。二、EDA名词解释1.ASIC,, , . , , 三、选择题1任Verilog HDL的端口声明语句中,用( )关键字声明端口为双向端口 A:inout B:INOUT C:BUFFER D:buffer2用Verilog HDL的assign语句建模的方法一般称为( )方法。A:连续赋值 B:并行赋值 C:串行赋值 D:函数赋值3IP核在EDA技术和开发中具有十分重要的地位,IP是指( )。A:知识产权 B:互联网协议 C:网络地址 D:都不是4在verilog HDL的always块本身是( )语句A:顺序 B:并行 C:顺序或并行 D:串行5在Verilog HDL的逻辑运算中,

9、设A=8b,B=8b00011001,则表达式“A&B”的结果为( )A:8b00010001 B:8b C:8b D:8b001101116大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( )。A:FPGA是基于乘积项结构的可编程逻辑器件;B:FPGA是全称为复杂可编程逻辑器件;C:基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D:在Altera公司生产的器件中,MAX7000系列属FPGA结构。7下列EDA软件中,哪一个不具有逻辑综合功能:( )。A: ISE B: ModelSim C: Quartus II D:Synplify

10、8下列标识符中,( )是不合法的标识符。A: State0 B: 9moon C: Not_Ack_0 D: signal9关于Verilog HDL中的数字,请找出以下数字中最大的一个:( )。A: 8b1111_1110 B: 3o276 C: 3d170 D: 2h3E10大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是( )。11A:CPLD是基于查找表结构的可编程逻辑器件;B:CPLD即是现场可编程逻辑器件的英文简称;C:早期的CPLD是从GAL的结构扩展而来;D:在Xilinx公司生产的器件中,XC9500系列属CPLD结构;12IP核在

11、EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为( )。A :瘦IP B:固IP C:胖IP D:都不是13不完整的IF语句,其综合结果可实现( )。A: 时序逻辑电路 B: 组合逻辑电路 C: 双向电路 D: 三态控制电路14CPLD的可编程是主要基于什么结构( )。A :查找表(LUT) C: PAL可编程 B: ROM可编程 D: 与或阵列可编程15IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为:( )A: 硬I PB: 固IP C: 软IP D: 都不是;16设a = 4b1010,b=

12、4b0001, c= 4b1xz0则下列式子的值为1的是( )A:a b B:a = c C:13 - a b)17设a=2 ,b=0,则下列式子中等于X的是( )。A: a & b B: a | b C: !a D: x & a18FPGA可编程逻辑基于的可编程结构基于( )。A: LUT结构 B: 乘积项结构 C:PLD D:都不对19CPLD 可编程逻辑基于的可编程结构基于 ( )。A: LUT结构 B: 乘积项结构 C: PLD D:都不对20下列运算符优先级最高的是( )。 A: ! B: + C :& D:21设a = 1b1,b = 3b101,c = 4b1010则X= a,b

13、,c的值的等于( )A: 7b1101100 B:8b C: 8b D:8b22将设计的系统按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程,称 为( )。A:设计的输入 B:设计的输出 C:仿真 D:综合23一般把EDA技术的发展分为( )个阶段。A:2 B: 3 C:4 D:524设计输入完成之后,应立即对文件进行( )。A:编译 B:编辑 C:功能仿真 D:时序仿真 25VHDL是在( )年正式推出的。A:1983 B:1985 C:1987 D:1989 26Verilog HDL是在( )年正式推出的。A:1983 B:1985 C:1987 D:1989 27基于硬件描

14、述语言的数字系统设计目前最常用的设计方法称为( )设计法。A:自底向上 B:自顶向下 C:积木式 D:顶层 28在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件为( )。A:仿真器 B:综合器 C:适配器 D:下载器 29在EDA工具中,能完成在目标系统器件上布局布线的软件称为( )。A:仿真器 B:综合器 C:适配器 D:下载器 30逻辑器件( )属于非用户定制电路。A:逻辑门 B: PROM C:PLA D:GAL31可编程逻辑器件PLD属于( )电路。A:半用户定制 B:全用户定制 C:自动生成 D:非用户定制32不属于PLD基本结构部分的是( )。A:与门阵列 B:输入缓存

15、 C:与非门阵列 :或门阵列33任Verilog HDL的标识符使用字母的规则是( )。A:大小写相同 B:大小写不同 C:只允许大写 D:只允许小写34操作符是Verilog HDL预定义的函数命名,操作符是由( )字符组成的。A:1 B:2 C:3 D:1335在Verilog HDL模块中,task语句类似高级语言中的( )。A:函数 B:常数 C:变量 D:子程序 36在Verilog HDL模块中,函数调用时返回一个用于( )的值。A: 表达式 B:输出 C:输入 D:程序包37Verilog HDL中的always语句中的语句是( )语句。A: 串行 B: 顺序 C: 并行 D:顺序或并行38嵌套的if语句,其综合结果可实现( )。A:条件相与的逻辑 B:条件相或的逻辑 C:条件相异或的逻辑 D:三态控制电路39嵌套的使用if语句,其综合结果可实现( )。A:带优先级且条件相与的逻辑

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1