ImageVerifierCode 换一换
格式:DOCX , 页数:46 ,大小:588.32KB ,
资源ID:8164011      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8164011.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于fpga的交通信号控制器设计本科论文.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于fpga的交通信号控制器设计本科论文.docx

1、基于fpga的交通信号控制器设计本科论文学号: 常 州 大 学 毕业设计(论文)(2012届)题 目 基于FPGA的交通信号控制器设计 学 生 学 院 专 业 班 级 校内指导教师 专业技术职务 校外指导老师 专业技术职务 二一二年六月基于FPGA的交通信号控制器设计摘要:交通灯信号控制器是社会中的一个典型应用,实际的硬件设计用到的器件较多,联系比较复杂,而且会产生比较大的延迟、造成测量误差、可靠性差。本论文给出了一个基于FPGA的交通信号控制器的设计,它是运用新兴的从上至下的电子设计方法取代传统的电子设计方案,用软件设计技术实现系统的功能,实现系统的集成及智能化控制。目前城市中机动车数量如日

2、剧增,城市交通问题越来越引起人们的重视。所以设计一套集成化程度高可智能化控制的交通信号控制系统尤为重要本。本设计利用QuartusII软件,以可编程逻辑器件FPGA为核心,完成了设计的输入、综合、定时、验证、功能仿真、硬件下载的全过程。实验结果证明,此设计具有很强的实用性和推广价值。 关键字:FPGA;VHDL;交通灯The traffic signal controller based on FPGA designAbstract: Traffic signal controller is the a typical application, the actual hardware desi

3、gn of the device used more, contact is more complex, and they produce large delay, cause measurement error, poor reliability. This paper gives a traffic signal controller based on FPGA design, it is to use emerging from up to down electronic design method instead of traditional electronic design sch

4、eme, with software design technology to achieve the function of the system, realize the integration of the system and intelligent control. At present in the city as the sun vehicles to soar the urban traffic more and more attention. So to design a high degree of integration can be intelligent contro

5、l of traffic signal control system is particularly important to this. This design using QuartusII software, with programmable logic devices FPGA as the core, completed the design of the input, comprehensive, timing, validation, function simulation, download the whole process of the hardware. The exp

6、erimental results show that the design is very strong practicability and popularize value.Keywords: FPGA; VHDL; Traffic lights1 引言1.1 本课题的开发背景当今社会人们早已习惯了十字路口的红绿灯交通指挥,通过红绿灯指挥交通已近成为社会最常见最有效的办法,红绿灯的出现使交通指挥进入了自动化和智能化的时代。然而这项技术早在19世纪就出现了,一直沿用至今。在1858年,英国就出现了以燃烧煤气来获得红绿光源的机械扳手式信号灯,来指挥行人和各种车辆的有序通行,这就是现在红绿灯控

7、制系统的起源。1868年英国安装了世界上最早的燃烧煤气的红绿灯,工作原理是以旋转方式玻璃提灯来实现。红色光源表示“停止”意思,绿色光源表示“注意”意思。然而在1869年1月2日,由于煤气不稳定易挥发特性煤气灯发生意外爆炸,炸伤了附近执勤的警察,为了安全起见取消使用了这种设备1。随着工业革命的到来,科学技术的发展,在1914年美国出现了电气启动的红绿灯。这种红绿灯由红黄绿三种颜色投光气组成。绿色灯表示“通行”的意思,红色灯表示“停止”的意思。更先进的红绿灯控制系统在1918年出现,这种红绿灯可以控制,期中一种办法是把压力探测器安置在路面下,车辆接近压力探测器红灯就变为色灯,车辆放行。另外一种技术

8、是利用扩音器来控制管理红绿灯,司机遇到红灯按车载喇叭就能时红灯变为绿灯。红外线的红绿灯控制器也跟着出现了,当行人踏上装有压力探测器的路面时,红绿灯控制器收到指令,红外光束就能把红灯时间延迟一阶段时间。推迟对车辆的放行,让行人先走,避免交通事故的出现。随着社会经济的发展,城市交通问题越来越引起人们的关注。城市交通控制系统是用于城市交通数据监测,交通信号灯控制与交通疏导的计算机管理综合系统,它是现在社会交通控制系统的重要组成部分。交通灯信号控制器设计是数字电路设计中的比较经典问题,传统的设计方法是基于中、小规模集成电路进行的,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延迟,造成

9、测量误差、可靠性差。但是随着Electronic Design Automation技术的发展,随着复杂可编程逻辑器件CPLD和现场可基于FPGA的交通信号控制器设计,可使整个系统大大简化,提高设计系统整体的性能和可靠性。用软件设计技术实现硬件系统的功能,实现系统的集成,从而大大缩短了产品开发、上市的时间,降低了开发成本。本课题是使用现场可编程门阵列FPGA,进行硬件电路的语言描述,实现十字路口交通信号控制器设计。伴随着城市经济与社会的发展,各种车辆数量的急剧增加,交通管理变得日益重要,尤其是在交通繁忙的十字路口处,为了确保十字路口的行人和车辆的安全,顺利的通过,往往采用电子控制的交通信号灯来

10、进行交通指挥管理,电子控制的交通灯已成为交通有序运转必不可少的重要工具。因此交通灯是城市交通管理系统的重要组成部分,它能够保证机动车辆和行人顺利安全运行,使我们的城市交通的顺畅。交通灯是城市交通管理系统的核心。人工智能交通灯综合管理系统(ITS)作为新世纪交通运输体系的未来发展方向,已经广泛受到了世界各国的大力重视与支持2。十字路口的交通灯是城市交通的效率提升的核心与灵魂,是交通管理的重要部份。1.2 交通灯控制器的国内外发展状况1.2.1 国内的研究现状目前在国内,人工智能交通控制系统是一个很活跃的研究领域,起步晚但发展很快。随着近年来随着社会经济的发展,一些国内的先进的理论也逐渐建立起来适

11、应社会发展的需要,比如模糊理论和人工智能理论的日臻完善,一些学者致力于这方面研究并取得了一定的研究成果。但目前的基于这些理论设计出来的控制器的还存在许多这样或那样的问题,比如说一些模糊处理方法将导致系统控制精度降低与动态品质变差,模糊规则难以总结,从而导致系统的不稳定;因此,未来后续的研究方向多采用遗传算法或改进的遗传算法,但目前采用这些理论的办法并不能完全满足我们现实的社会设计需要,只能做为未来发展的方向,需要我们去研究使这些理论和技术更加成熟更加稳定。为此我们需要开发一种根据车流量自动控制交通灯时长的智能交通控制系统是很有意义的,也是交通灯控制器未来发展的方向与未来3。我国的交通配套设施建

12、设低于国家发展的速度,道路网络少,交通指挥中心系统效率低,很难解决目前交通问题。只有通过信息通信技术、电子技术和其他科学技术把它们联系起来,组合起来实现自动化,智能化的ITS才是解决问题的根本办法。我国的交通信息化还处于起步阶段,智能交通系统中的各个环节、各部门之间的合作和衔接还存在许多不足与缺点,这些问题制约着我们国家的交通信息化智能化的发展与进步。我们国家的ITS研究和实施起步对比其他西方国家相对较晚,在20世纪90年代中期以来,在国家交通部的统一组织下。我们国家的研究院和各种工程技术人员开始研究ITS技术,紧跟世界先进潮流,并很快就取得了的发展。国家在继续大规模加快交通基础设施建设的同时

13、,明确提出将自动化,智能化交通作为国家未来发展交通运输业的重要方向和给予大力的支持,来解决我国城市交通的拥挤和解决沉重的运输压力的办法。面对这些问题和困难,在1998年1月我国交通部设立了国家智能交通系统工程研究中心,依托各大高校和各级科学研究所在国家交通部的统一组织下,负责了许多重点项目的研究和开发,比如“智能交通系统发展战略研究”。通过对该项目研究,给出了我们国家智能交通系统发展的整体框架结构,提供了原则性指导意见。在此期间,由全国20多所重点高校和研究所参与的国家重大公关项目“ITS”体系框架和“ITS标准体系及关键标准制定”已顺利通过国家相关部门的同意,这些标准的顺利制定将为我们国家实

14、施ITS打下良好的基础。从以上的材料不难看出我们国家对ITS产业的大力支持与重视,还有社会各界对此的积极参与支持。发展智能交通系统这样的新兴行业和高技术行业是国家发展的主要助推力量,是社会经济结构战略调整的重任之一,所以国家会继续加大对ITS行业的支持与重视,ITS未来在国内会得到进一步的发展。1.2.2 国外的研究现状目前在西方一些发达国家,均大力支持和开发自己的人工智能交通控制器研究与实践运用,在自己的国家建立了智能交通控制系统来解决日益严重的交通阻塞。在这些系统中,我们看到了交通灯控制器未来的发展方向。通过人工智能加入这些传统的领域,为这些行业带来了巨大的改变。通过根据车流量来改变南北红

15、绿灯显示时间,已经大大改变了交通阻塞的状况。然而,交通系统复杂巨型系统,对其进行有效地控制是一个非常复杂的问题4。所以未来必须采用更先进的智能控制理论来解决日益复杂的交通控制系统的控制问题,以后交通灯控制器也正在向智能化自动化更高的程度方向发展,来改变我们面对日益严峻的城市交通问题和改善我们生存环境。从智能交通系统发展的历史来看,世界各国普遍认为智能交通系统起步于6070年代,交通系统管理的计算机化就是智能交通系统的开始。伴随着世界经济与技术的发展,智能交通发展步入快速发展阶段。智能交通系统是系统性、服务广泛性和信息的交互性,最核心的是通信技术、通信技术、智能控制技术和系统工程等。目前智能交通

16、系统在主要欧美等发达国家得到大规模的运用,并且发展趋势日新月异。通过应用智能交通系统后,可以提高交通系统的运输效率和提高能源的利用效率。能够使车祸降低50-80%,交通拥挤程度降低20%,延误降低10-25%,燃油消耗减少30%。美国是世界上较早运用ITS和比较成功的一个国家,早在1995年美国交通部就出版了“国家智能交通系统项目规划”。据报道统计,目前ITS在美国的应用率达到了80%以上,并且普及率还在不断提高,相关产品跟新速度很快也比较先进。美国政府明确提出要求将ITS发展和将设纳入国家和各个州的基本交通建设投资计划里,资金主要由联邦和各州政府提供,同时也大力鼓励民间和私人企业投资进入该领

17、域。日本于1973年就已近开始了对智能交通体系的研究与开发,主要发展交通管理最优化系统,行人诱导系统,公交支援系统,道路交通管理高效化系统等等。日本ITS主要运用在交通信息提供、公共交通领域、电子收费和商业车辆管理等领域。日本走民间和政府结合发展的道路,这种方式极大的调动了私人企业的积极性,加速了日本在ITS方面的研发和运用。目前欧洲在ITS方面的发展模式介于美国和日本之间,正在进行Telematic的全力开发,计划是在全欧洲建立专门的交通无线数据通信网络,并且正在开发车辆控制系统,信息服务系统,电子收费系统等等。由此可见智能交通系统在国外发展迅猛,已成为各个国家大力发展的对象。1.3 本课题

18、研究目的和意义随着近年来社会经济和技术的快速发展,人们生活和消费水平的不断提高私家车数量不断曾加。虽然近年来国家加大了对交通方面的建设投入,但城市交通状况仍然不容乐观。现在很多城市的十字路口交通灯控制器都是定时控制,各种灯亮时间都是固定不变的。不能根据交通道路的实际情况来改变,在时间上和空间上应变能力很差,在很大程度上浪费了公共交通资源,加重了道路的拥挤情况。我国是人口大国,随着城市化建设的不断推进城市人口会越来越多,城市交通问题日益突出。今后几年机动车巨量还会继续增加,道路超负荷运载,交通事故也在逐渐增加。基于这种情况,设计一种新型的交通控制器来缓解这些问题已经势在必行了。近几年FPGA技术

19、飞速发展,性能不断提高,应用领域也在不断的扩大,FPGA的市场占有量也在不断提高。基于这种情况,用FPGA来设计交通灯控制器也是顺应了社会的要求,满足了社会的需要,用FPGA来设计交通灯控制器很具有价值性与实用性5。通过此项设计,也能够把自己所学应用到实践中去,更深入的了这些原理。通过实践也能检验来锻炼自己的能力,综合运用所学的知识,掌握仿真系统的使用方法,来达到提高自己综合能力的目的,为以后的工作和学习打下坚实的基础。2 拟采用的方案及可行性分析2.1 交通灯的工作原理工作原理:交通灯放置在十字路口等交通枢纽地方,用来控制路口的交通通行。利用红绿灯来控制车辆通行,红灯停绿灯行黄灯是延迟时间。

20、利用数码管进行通行时间倒计时,告知车辆和行人具体的通行的时间。传统的交通灯控制是采用固定的时间控制,道路只能按固定的时间放行不能根据具体的车流量来控制交通。现在趋势是采用智能化的交通灯控制系统,利用开关和传感器来检测车流,根据具体方向的车流量来控制方向的通行时间,实现智能化的管理。一般的交通灯控制器的系统主要由时钟发生器、显示译码、交通灯输出、时间计数器和主控状态机等主要模块功能组成。其中时钟发生器主要功能是将系统的基准时钟信号转换成激励信号,驱动时间计数器模块和主控状态机模块来进行工作。显示译码是将信号已数字形式显示出来。交通灯输出是控制交通红绿灯的亮灭来控制交通的通行。系统的主控制器是根据

21、时间计数器的计数情况和控制开关的状态等状态选择通行的状态和通行的时间。2.2 方案一:采用PLC的交通信号控制器设计此方案采用FP-1C40作为系统设计的核心控制器件,PLC可编程控制器的核心是一台微型计算机,它是专为工业环境应用而设计知道的微型计算机。PLC具有很高的可靠性和丰富的输入与输出接口,并且具有很强的驱动能力。PLC采用可编程的存储器,用于它的内部存储程序,执行逻辑运算、顺序控制、定时、计数和算数操作等面向用户的指令,并通过数字或模拟式输入或输出控制各类型的机械和生成制造过程。虽然PLC具有微机的很多的特点,但PLC的工作方式却与微机的工作方式有很大不同点。微机的工作方式一般是采用

22、等待命令,而PLC的工作方式则采用循环扫描,在PLC中用户程序按先后顺序存放。对于每个程序,处理器从每个程序的第一条指令开始执行,直至遇到结束符后又返回第一条,如此周而复始不断循环执行,每一个循环称为一个扫描周期。本控制系统由启动按钮、复位按钮、PLC控制器件、主路与支路的通道指示灯和计时、定时器组成。它采用模块化结构,编程简单、安装简单而且维修方便。使用可编程序控制器PLC设计交通灯的主要优点是可以提高系统的可靠性和稳定性,但它也存在明显的不足与缺点,众所周知PLC的体系结构是密闭的,标准不够绝对统一。因而各个PLC厂家的硬件体系各不兼容,编程语言及指令系统也有差异,这些问题导致用户选择某一

23、厂家的PLC产品后不能再去选择其他厂家的产品来兼容这个产品,必须选择与其相应的控制规程与编程语言和指令,需要学习特定的编程语言,产品不能互相兼容6。图 2-1 PLC控制的交通信号灯原理图2.3 方案二:采用单片机MCS-51的交通信号控制器设计此方案采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为系统的核心器件来进行设计的交通信号控制器,实现了系统的功能。根据实际的车辆通行通过芯片的P1口设置红、绿、黄灯指示。来实现主路一直通行和主支路交替通行,倒计时显示。交通灯信号通过PA口来进行输出,显示的时间直接通过8255的PC口输出到两位数码管。当支路来车时检测到信号,

24、进行主、支路交替通行7。利用单片机设计的交通灯控制器有成本低、能够容易实现多功能和性能比较稳定的优点,但也存在缺点,如果需要修改电路的功能以及调试都需要电子设备和硬件电路的支持,这加大了工作量与调试与维护的难度与成本。 用单片机制作的主控板受制版工艺、布局结构、器件质量等因素的影响导致抗干扰能力差。图 2-2 单片机MCS-51控制的交通信号控制器2.4 方案三:基于FPGA的交通信号控制器设计采用FPGA的交通信号控制器,利用Altera公司的功能强大的软件开发工具QUARTUSII,以可编辑逻辑器件FPGA为核心部件,完成设计输入,编译设计文件,综合、适配与优化,定时验证,功能仿真、时序仿

25、真,器件编程下载到FLEX:EPF10K10LC84芯片中。方案采用的原理:交通灯控制器的系统主要由时钟发生器、显示译码、交通灯输出、时间计数器和主控状态机组成。其中时钟发生器主要是将系统的基准时钟信号转换成激励信号,驱动时间计数器和主控状态机模块来进行工作。主控状态机根据时间计数器的计数情况和开光的状态来对交通灯的亮灭和持续时间来进行控制,通过显示译码和交通灯输入模块将灯亮的时间和红绿灯情况显示出来。使用基于FPGA设计的交通信号控制器具有(1)简化设计。FPGA可编程性与灵活性,电路设计后可以随意修改或删除,无需重新布线与生产电路板,大大缩短了设计周期。(2)高性能。一片FPGA芯片的功耗

26、比分立器件组合的电路的功耗要小的多。(3)高可靠性。使用FPGA设计将使使用的器件的数目减少,大大提高了可靠性,减少了干扰和噪声,这样就提高了设计的可靠性与实用性等。(4)成本下降。采用FPGA设计将减少器件使用,用于测试和装配的工作量减少,系统成本降低了8。(5)硬件加密。使用FPGA设计其内部的结构由设计者通过编程实现,有些器件还提供保密单元,用来防止盗版芯片里的程序,给设计者带来了很大的方便。图 2-3 基于FPGA的交通信号控制器系统整体框图总结:综上所属,结合上面各种设计的优缺点,我在交通信号控制器设计上选择基于FPGA的交通信号控制器方案。3 基于FPGA的交通控制器相关EDA知识

27、介绍3.1 FPGA的概述3.1.1 FPGA的发展FPGA是Field Programmable Gate Array英文的缩写,即是现场可编程门阵列,它是从PAL、GAL、EPLD等可编程器件的技术基础上进一步发展而来的器件。FPGA是作为专用集成电路(ASIC)领域应用中的一种半定制电路而产生和出现的,它的问世不仅解决了定制电路的不足,而且克服了原有可编程器件门电路数有限的缺点,是一款更有技术优势和应用价值的器件。 FPGA运用了逻辑单元阵列LCA(Logic Cell Array)这样一个新理论和概念,在它的内部包括内部连线(Interconnect)、可配置逻辑模块CLB(Confi

28、gurable Logic Block)和输出输入模块IOB(Input Output Block)三个部分。FPGA的基本特点主要有一下几点:(1)FPGA内部有很丰富的触发器和I/O引脚。(2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 (3)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 (4)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。(5)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 从可编程逻辑器件的发展史看,主要经历了从PROM(Programmable Read Only Memory)

29、、PLA(Programmable Logic Array)、PAL(Programmable Array Logic)、可重复编程的GAL(Generic Array Logic ) 、采用大规模集成电路技术的EPLD、直到后来的CPLD和FPGA的过程9。可编程逻辑器件发展过程大致如下:(1)在20世纪70年代,出现了熔丝编程的PROM和PLA器件是被认为出现最早的可编程逻辑器件。(2)在20世纪70年代末期,基于对PLA器件进行了技术改进,AMD公司推出新的PAL器件。(3)20世纪80年代初期,由Lattice公司发明了电可擦写的,对比PAL器件使用更灵活更方便的GAL器件。(4)在2

30、0世纪80年代中期,由Xilinx公司提出了更新的概念,现场可编程概念。利用这种概念生产出了世界上第一片FPGA器件。在这个时候Altera公司也推出了新的EPLD器件,对比以前的GAL器件具有更高的集成度,可以利用紫外线或者电擦除。(5)在20世纪80年代末,Lattice公司又提出了在系统可编程技术,又开发出了一系列具备在系统可编程能力的CPLD器件,这些新出现的器件将可编程逻辑器件的性能和应用技术推向了一个更高的高度。(6) 在进入20世纪90年代后,随着各项技术的飞速发展可编程逻辑集成电路技术也进入飞速发展时期。器件的可用逻辑门数超过了百万门,而且出现了内嵌复杂的功能模块(如DSP、核

31、加法器、RAM、乘法器、CPU核PLL、CPU核等)的SOPC器件(System On a Programmable Chip)。Xilinx公司是最早退出FPGA产品的生产商,始终占据着FPGA市场的主流地位。Xilinx公司的FPGA结构主要有两个方面的创新。第一个创新是逻辑单元阵列(Logic cell Array,LCA)结构。LCA分布结构使之具有门阵列和可编程器件的双重特征。LCA就像一个门阵列,通过内部的可编程布线通道,把可编程逻辑块CLB按设计要求连接在一起以完成逻辑功能。另一个创新是Xilinx公司的FPGA芯片的逻辑功能配置是在其内部阵列分布SRAM原理,即是通过对于分布的

32、SRAM的不同加电配置来巨鼎各个部分的逻辑定义。同时允许LCA通过简单的加载新的数据配置SRAM单元,这样来实现对芯片新的逻辑配置。由此可见,对于FPGA器件的编程,其实就是加载在SRAM上的配置数据,来决定和控制各个CLB、IOB和内部连线PI的逻辑功能和它们之间的相连关系。通常这些配置数据存放于外面附属的PROM或者EPROM中,在系统开机或者需要时自动载入到FPGA中的SRAM10。3.1.2 FPGA的基本结构FPGA器件在结构上由逻辑功能块排列为阵列的。他的结构主要可以分为一下三部分:可编程I/O模块IOB(Input/Output Block)、可编程内部连线PI(Programmble Interconnect)和可编程逻辑块CLB(Configurable Logic Blocks)。可编程逻辑块CLB在器件中排列为阵列,并且在它的周围有环形内部连线,IOB分布在四周的众多管脚上面。CLB不仅可以实现逻辑函数,而且能够配置成RAM等非常复杂的形式。 图 3-1 FPGA的结构原理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1