ImageVerifierCode 换一换
格式:DOCX , 页数:21 ,大小:23.14KB ,
资源ID:8107351      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/8107351.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的三层电梯设计DOCdoc.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的三层电梯设计DOCdoc.docx

1、基于FPGA的三层电梯设计DOCdoc数字电子技术课程设计题目 :基于 FPGA的三层电梯设计学 院:工学院专业班级:通信工程11秋2班学生姓名:小组成员:指导教师:完成日期 2013 年 12 月1课题背景 .11.1课题的作用和意义 .11.2国内外的现状和发展趋势等情况 .12 Quartus II 软件、 FPGA硬件介绍 .22.1 QuartusII 软件介绍 .22.1.1QuartusII 对器件的支持 .22.2 FPGA 硬件介绍 .32.2.1FPGA 基本简介 .32.2.2FPGA 的优点 .33设计思路及运行流程 .43.1电梯主要功能 .43.2电梯运行设计思路

2、.43.3电梯的运行流程 .43.4模块介绍 .53.4.1电梯控制模块介绍 .53.4.2译码器模块介绍 .63.4.3总模块介绍 .74各模块功能仿真图 .84.1电梯控制模块功能仿真 .84.2译码模块功能仿真 .95实物展示 .106心得体会 .127谢 辞.13参考文献 .14附录一 .15附录二 .23数字电子技术课程设计1课题背景1.1 课题的作用和意义电梯作为高层大厦的主要垂直交通工具, 电梯系统服务质量和效率的提高对建筑的有效利用和性能发挥将产生极为重要的影响。 信息化时代的到来, 推动了电梯的发展,电梯控制器已成为当今世界性开发的热点, 也是各国综合实力的表现。电梯系统作为

3、建筑楼宇自动化的重要组成部分, 也要求向满足大厦中大量人流、物流的垂直输送需要, 电梯制造商利用先进的技术, 开发出各种高性能的电梯系统以满足乘客生理和心理要求,实现高效的垂直输送。1.2 国内外的现状和发展趋势等情况随着科学技术的发展, 近年来我国的电梯生产技术得到了迅速发展 一些电梯厂也在不断改进设计、 修改工艺。更新换代生产更新型的电梯, 电梯主要分为机械系统与控制系统两大部份, 随着自动控制理论与微电子技术的发展, 电梯的拖动方式与控制手段均发生了很大的变化, 交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统、 FPGA控制系统、微机控制系统。继

4、电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点, 目前已逐渐被淘汰。 微机控制系统虽在智能控制方面有较强的功能, 但也存在抗扰性差, 系统设计复杂, 一般维修人员难以掌握其维修技术等缺陷。 而 FPGA/CPLD控制系统由于运行可靠性高, 使用维修方便, 抗干扰性强,设计和调试周期较短等优点, 倍受人们重视等优点, 已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。1数字电子技术课程设计2 Quartus II 软件、 FPGA硬件介绍2.1 QuartusII 软件介绍QuartusII 是 Altera 公司继 Max+plu

5、sII 之后开发的一种针对其公司生产的系列 CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0 版到 10.0版,这里介绍的是 QuartusII8.0 版。Quartus II软件的设计流程为: 设计输入、综合和编译、适配器、仿真、下载。 Max+plusII作为 Altera 的上一代 PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max+plus II 的更新支持。 Quartus II支持 Altera的 IP核,包 含了LPM/MegaFunction 宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

6、2.1.1 Quartus II 对器件的支持QuartusII 支持 Altera 公司的 MAX 3000A系列、MAX 7000系列、MAX 9000系列、 ACEX 1K 系列、 APEX 20K 系列、 APEX II 系列、 FLEX 6000 系列、FLEX 10K 系列,支持 MAX7000/MAX3000等乘积项器件。支持 MAX II CPLD系列、 Cyclone 系列、 CycloneII 、StratixII 系列、 StratixGX 系列等。支持 IP核,包含了 LPM/MegaFunction 宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、 加快

7、了设计速度。 此外,QuartusII 通过和 DSP Builder工具与 Matlab/Simulink 相结合,可以方便地实现各种 DSP应用系统;支持Altera 的片上可编程系统( SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化 工 具 等 特 点 , 支 持 原 理 图 、 VHDL、 VerilogHDL 以 及 AHDL( Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器, 可完成从设计输入到硬

8、件配置的完整 PLD设计流程。2数字电子技术课程设计2.2 FPGA 硬件介绍2.2.1 FPGA 基本简介FPGA(Field Programmable Gate Array ),即现场可编程门阵列,它是在PAL、 GAL、CPLD等可编程器件的基础上进一步发展的产物。它是专用集成电路中一种半定制电路。 具克服了传统可编程器件数有限的缺点, 又具有可编程的特点。 FPGA是由存放在片内 RAM中的程序来设置其工作状态的,因此,工作时需要对片内的 RAM进行编程。用户可以根据不同的配置模式, 采用不同的编程方式。2.2.2 FPGA 的优点目前的 FPGA已远超出先前产品的基本功能,并且整合了

9、许多常用功能 ( 如RAM、时钟管理和 DSP),在新型的的系统级可编程逻辑器件中还可以以 IP 核的形式来进行功能设计,或许只需要一块 FPGA芯片就可以实现所有功能,成为片上系统。 FPGA运行速度快, 内部集成锁项环 , 可以把外部时钟倍频 , 核心频率可以到几百 M,克服了单片机在高速场合中运行速度低的缺点。3数字电子技术课程设计3设计思路及运行流程3.1 电梯主要功能(1)每层电梯处设有上下请求开关, 电梯内设有乘客到达层次的停站请求开关。(2)设有电梯所处位置指示装置及电梯运行情况指示。(3)电梯的初始状态为第一楼层。3.2 电梯运行设计思路实现此系统分为三个模块,分别为总控制模块

10、、电梯位置显示的译码模块,及电梯运行状态显示的译码模块。我们通过开发板中的开关模拟电梯外部和内部的楼层上升及下降请求, 由数码管显示电梯的位置状态及所在楼层层数。 通过 LED灯的亮与灭表示电梯的开门状态。例:从 1 楼上至 2 楼,即需要将开发板中代表 1 楼上升请求及 3 楼停止请求的开关拨上,则数码管显示 0102。3.3 电梯的运行流程电梯的请求信号划分为上升信号和下降信号。 电梯收到请求信号后, 都必需做预操作。使电梯进入预上升状态的请求信号就是上升, 得到上升和下降及所到楼层的请求后作出相应的动作实现。以下为电梯在各楼层时的状态:当电梯在一楼时, 不管电梯内部还是外部, 电梯只能收

11、到上升请求信号, 此时电梯进入预上升状态,准备上升,如果没有收到上升请求,则电梯待在一楼。当电梯在二楼时, 如电梯外部内部均没有收到任何请求, 电梯将返回一楼待机;如电梯接收到上升请求信号, 则进入预上升状态; 如电梯接收到下降请求信号,电梯进入预下降状态。当电梯在三楼时,电梯只能接收到下降请求信号,此时电梯进入预下降状态,准备下降。流程图如(图 3.1 )所示4数字电子技术课程设计图 3.1 电梯运行流程图3.4 模块介绍3.4.1 电梯控制模块介绍控制模块代码见(附录一) ,原理图如(图 3.2 )具体引脚分配见(附录二)图 3.2 电梯顶层模块5数字电子技术课程设计开关输入引脚:“ RE

12、ST”是复位开关,实现电梯无请求时手动复位至1 楼的功能;“ LIFTCLK”是时钟脉冲;“ F1UPBUTTON”是 1 楼上升的请求开关,实现产生1 楼有上升请求;“ F2UPBUTTON”是 2 楼上升的请求开关,实现产生2 楼有上升请求;“ F2DNBUTTON”是 2 楼下降的请求开关,实现产生2 楼有下降请求;“ F3DNBUTTON”是 3 楼下降的请求开关,实现产生3 楼有下降请求;“ STOP13BUTTON”是 13 楼停止的请求开关, 实现产生到 13 楼时停止的请求;数码管输出引脚:“ jm6.0 ”是电梯所在位置显示引脚; “ led6.0 ”是电梯上升下降状态显示。

13、LED灯输出引脚:“ DOORLIGHT”时开门灯显示引脚。3.4.2 译码器模块介绍( 1)楼层上升及下降状态译码器模块,楼层上升及下降状态显示如(图 3.3 ):图 3.3 上升及下降状态显示译码模块“ SEG”表示输入一个 1 位二进制数 0 或 1;“Q36.0 ”引脚分别输出 7 位二进制: 0000001和 1001111 即显示为 0 和 1。( 2)楼层楼层位置显示译码器模块原理图如(图 3.4 ):6数字电子技术课程设计图 3.4 楼层楼层位置显示译码器模块SEG2.1 表示输入一个 2 位二进制数 01、10、11;“ Q36.0 ”引脚分别输出 7 位二进制: 10011

14、11 显示为 1,0010010 显示为 2,0000110 显示为 3。3.4.3 总模块介绍图 3.5 电梯主控系统和译码器模块连接图控制模块的下降信号输出作为运行状态译码模块的输入, 控制模块的位置输出作为电梯位置显示译码模块的输入。7数字电子技术课程设计4各模块功能仿真图4.1 电梯控制模块功能仿真图 4.1 电梯控制模块功能仿真上图表示:电梯从 1 楼上至 3 楼再下至 2 楼的情况波形说明:步骤 1:给予 2 楼上升请求一个脉冲步骤 2:给予 3 楼停一个脉冲步骤 3:给予 2 楼上升请求一个脉冲步骤 4:下降输出信号 UDSIG在电梯响应下降至 2 楼时为 1 表示下降其余为 0

15、 上升;开门灯 DOORLIGHT在 1 楼和到 3 楼及 2 楼时为 1 表示亮;电梯位置显示 POSITION相应显示 1 232。以上仿真与所要求情况一致。8数字电子技术课程设计4.2 译码模块功能仿真图 4.2 译码器功能仿真上图表示:(译码显示的是数码管的引脚,低电平为亮,高电平为不亮)Jm分别为:1001111 001001000001100010010 即 123 2 符合电梯所在位置显示。Led 分别为: 00000000000001 即 01 上升到下降符合电梯运行状态。9数字电子技术课程设计5实物展示1 电梯初始状态如图( 5.1)图 5.1 电梯初始状态说明:上图显示 0

16、1,即电梯处于 1 楼待上升状态。当没有任何请求时电梯则自动复位至此状态2电梯 1 楼上升至 3 楼模拟如图( 5.2)图 5.2 电梯 1楼上至 3楼说明:要实现电梯从 1 楼上升至 3 楼则需要 F1UPBUTTON即开关 SW3为高电平, STOP3BUTTON即开关 SW9为高电平,同时 BUTTONCLK即开关 SW2为高电平,10数字电子技术课程设计手动拨动 LIFTCLK即开关 SW1一次上升一层楼。图示为电梯已经上至三楼的状态。DOORLIGHT为高电平即 LED灯亮表示电梯开门。3电梯 3 楼下降至 1 楼模拟如图( 5.3a)、图( 5.2b)图 5.3 ( a) 电梯处于

17、 3 楼待下降状态说明:电梯要实现从 3 楼下降至 1 楼则需要 3DNBUTTON即 SW6为高电平,STOP1BUTTON为高电平,同时 BUTTONCLK即开关 SW2为高电平,手动拨动 LIFTCLK 即开关 SW1一次下降一层楼。图示为电梯在 3 楼待下降的状态。图 5.3(b) 电梯已下降至 1 楼的状态说明:拨 LIFTCLK两次电梯已下降至 1 楼的状态。DOORLIGHT为高电平即 LED 灯亮表示电梯开门。11数字电子技术课程设计6心得体会两周的课程设计实际的操作了整套电梯控制的设计,从刚开始的开题报告,到着手编写代码、连接模块原理图、仿真、分配引脚到最后下载到开发板验证。

18、在课程设计前都是进行某个部分的操作,经过此次整套程序下来对 FPGA 及VHDL 语言都有了更深的认识。开始面对着书上的大段大段代码感到恐惧,但通过之后的一点一点输入修改,特别是在代码修改中体会到了耐心及细心的重要性, 例如一个标题不吻合或少个引号在句末少个分号这些看似简单甚至不起眼的符号都足以让我们头疼找半天,但是随着这些错误的逐个排解也会产生极大的喜悦感。 从刚开始的看着代码什么都不懂,到最后能够看懂一点, 真的有很大的收获。 刚开始还算比较顺利,但在译码模块出现了许多问题, 不断的仿真失败、 下载失败,不断的尝试最后能够成功的实现电梯控制的基本功能是这两周来和我的队友共同努力的结果。 同

19、时还学到了再完成一项任务时应该如何与自己的队友合作完成, 要有自己的想法但也要善于尝试队友的建议。不论最后的成绩如何,都是自己努力来的成果。12数字电子技术课程设计7 谢 辞在取得这些成果的同时当然少不了两位老师的辛苦指导, 两位老师在进行其他班级正常授课的同时还要过来指导我们完成课程设计,要较于平时辛苦很多。有几次同学的代码总是修改不成功, 周老师在下班的时间依旧帮助他查找错误帮忙修改。上课时不停的有人喊“老师”老师这边” , 你们依旧可以耐心的为我们一一解释错误的原因并指导我们解决那些问题。在这里衷心的感谢两位老师为我们的付出。13数字电子技术课程设计参考文献1 朱 正伟 , 王其红, 韩

20、学 超 . EDA 技术及 应用( 第二版) M. 北 京 : 清华大学出 版社,2013.3:p315-32314数字电子技术课程设计附录一总程序模块 :LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY THREEFLIFT ISPORT(BUTTONCLK: IN STD_LOGIC;LIFTCLK: IN STD_LOGIC;RESET: IN STD_LOGIC;F1UPBUTTON: IN STD_LOGIC;F2UP

21、BUTTON: IN STD_LOGIC;F2DNBUTTON: IN STD_LOGIC;F3DNBUTTON: IN STD_LOGIC;FUPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);FDNLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);STOP1BUTTON,STOP2BUTTON,STOP3BUTTON: IN STD_LOGIC;STOPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);POSITION: BUFFER INTEGER RANGE 1 TO 3;DO

22、ORLIGHT: OUT STD_LOGIC;UDSIG: BUFFER STD_LOGIC);END THREEFLIFT;ARCHITECTURE a OF THREEFLIFT ISTYPE LIFT_STATE IS(STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DOORWAIT3,DOORWAIT4,UP,DOWN,STOP);SIGNAL MYLIFT: LIFT_STATE;SIGNAL CLEARUP: STD_LOGIC;SIGNAL CLEARDN: STD_LOGIC;15数字电子技术课程设计BEGINCTRLIFT: PR

23、OCESS(RESET,LIFTCLK)VARIABLE POS: INTEGER RANGE 3 DOWNTO 1;BEGINIF RESET=1 THENMYLIFT=STOPON1;CLEARUP=0;CLEARDNDOORLIGHT=1;POSITION=1; POS:=1;MYLIFTMYLIFTCLEARUP=0;CLEARDN=0;MYLIFTMYLIFTMYLIFTDOORLIGHT=0;IF UDSIG=0 THENIF POSITION=3 THEN16数字电子技术课程设计IFSTOPLIGHT=000 AND FUPLIGHT=000 AND FDNLIGHT=000 T

24、HENUDSIG=1;MYLIFT=DOORCLOSE;ELSE UDSIG=1;MYLIFT=DOWN;END IF;ELSIF POSITION=2 THENIF STOPLIGHT=000 AND FUPLIGHT=000 AND FDNLIGHT=000 THEN UDSIG=0;MYLIFT=DOORCLOSE;ELSIFSTOPLIGHT(3)=1 OR (STOPLIGHT(3)=0 AND FDNLIGHT(3)=1)THEN UDSIG=0;MYLIFT=UP;ELSE UDSIG=1;MYLIFT=DOWN;END IF;ELSIF POSITION=1 THENIFSTOPLIGHT=000 AND FUPLIGHT=000 AND FDNLIGHT=000 THEN UDSIG=0;MYLIFT=DOORCLOSE;ELSE UDSIG=0;MYLIFT=UP;END IF;END IF;ELSIF UDSIG=1 THENIF POSITION=1 THENIFSTOPLIGHT=000 AND FUPLIGHT=000 AND FDNLIGHT=000 THEN UDSIG=0;17数字电子技术课程设计MYLIFT=DOORCLOSE;ELSE UDSIG=0;MYLIFT=UP;END IF;ELSIF P

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1